VHDL
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity PUNTO5 is
Port ( clk : in STD_LOGIC;
entrada : in STD_LOGIC;
salida : out std_logic_vector (3 downto 0) );
end PUNTO5;
architecture Behavioral of PUNTO5 is
signal D_bus, Q_bus : STD_LOGIC_VECTOR ( 3 downto 0);
begin
process(clk)
entity punto4y5 is Port ( clk : inout STD_LOGIC;
to 400000;
signal CLK4 : std_logic;