0% found this document useful (0 votes)
123 views20 pages

RN Qts 131 Dev Support

Uploaded by

Waheed Ahmed
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
123 views20 pages

RN Qts 131 Dev Support

Uploaded by

Waheed Ahmed
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 20

Quartus II Software and Device Support

Release Notes Version 13.1

RN-01080-13.1 Release Notes

This document provides late-breaking information about the Altera® Quartus® II


software version 13.1.
This document contains the following sections:
■ “New Features & Enhancements” on page 2
■ “Memory Recommendations” on page 3
■ “Changes in Device Support” on page 5
■ “Changes to Software Behavior” on page 10
■ “Device Support and Pin-Out Status” on page 11
■ “Timing and Power Models” on page 12
■ “EDA Interface Information” on page 15
■ “Antivirus Verification” on page 16
■ “Software Issues Resolved” on page 16
■ “Software Patches Included in this Release” on page 18
■ “Latest Known Quartus II Software Issues” on page 20
For information about operating system support, refer to the readme.txt file in your
altera/<version number>/quartus directory. For the latest information about the
MegaCore® IP Library, refer to the MegaCore IP Library Release Notes and Errata.

© 2013 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, HARDCOPY, MAX, MEGACORE,
NIOS, QUARTUS and STRATIX words and logos are trademarks of Altera Corporation and registered in the U.S. Patent and
Trademark Office and in other countries. OpenCL™ and the OpenCL logo are trademarks of Apple Inc. used by permission of
Khronos. * All other words and logos identified as trademarks or service marks are the property of their respective holders as
described at www.altera.com/common/legal.html. Altera warrants performance of its semiconductor products to current
specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and
101 Innovation Drive services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any
information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are
San Jose, CA 95134 advised to obtain the latest version of device specifications before relying on any published information and before placing
www.altera.com orders for products or services.

13.1 November 2013 Altera Corporation

Subscribe
New Features & Enhancements Page 2

New Features & Enhancements


The Quartus II software version 13.1 includes the following new features and
enhancements:
■ Enhanced device support:
■ Full device support for the following Arria ® V devices: 5ASTD3, 5ASTD5,
5ASXB3, 5ASXB5
■ Full device support for the following Cyclone® V devices: 5CSEA5, 5CSEA6,
5CSTD5, 5CSTD6, 5CSXC5, 5CSXC6
■ Advance device support for the following Cyclone V devices: 5CSEA2,
5CSEA4, 5CSXC2, 5CSXC4
■ Support for Windows 8 64 bit operating systems.
■ Expanded Transceiver Toolkit support for Arria V SoCs.
■ For designs that target the Stratix V device family, Rapid Recompile automatically
preserves the placement and routing of unmodified portions of a design during
design interation to reduce compilation time.
■ Enhancements to Qsys including:
■ Qsys system visualization, making it easy to modify your Qsys system
■ System hierarchical interconnect support that provides better component
visibility, making design simulation and system debug easier
■ A new reset sequencer, allowing you to have direct control of logic reset bring
up
■ Custom insertion of pipeline stages, enabling faster timing closure
■ The Altera SDK for OpenCL™ is now included with the ACDS installer. The
Altera SDK for OpenCL version 13.1 includes the following enhancements:
■ Conformance with the OpenCL Specification version 1.0.
■ Loop optimization by pipelining and task support that allows parallelism
extraction from your OpenCL code and resource and performance trade-offs
■ Quartus II Help can be used with the following browsers:
■ Local Quartus II Help (Help on a local drive installed by the Altera Installer) is
fully compatible with Microsoft Internet Explorer 10 and Safari 5 running on
Windows 7 operating systems.
■ You can view local Quartus II Help in Google Chrome; however, you cannot
open a Chrome browser from the Quartus II GUI. You must start Chrome with
the --allow-file-access-from-files flag and then navigate to
<quartus installation directory>/common/help/master.htm.
■ Local Quartus II Help is does not work with Mozilla Firefox on Linux 32-bit
systems. Altera recommends you connect to http://quartushelp.altera.com.
■ Quartus II Web Help (hosted at http://quartushelp.altera.com/current) is
fully compatible with Microsoft Internet Explorer 10, Mozilla Firefox 17.0,
Safari 5, and Google Chrome.
■ Some Help features require you to disable pop-up blocking.

November 2013 Altera Corporation Quartus II Software and Device Support Release Notes Version 13.1
Memory Recommendations Page 3

Memory Recommendations
A full installation of the Quartus II software requires up to 18 GB of available disk
space on the drive or partition where you are installing the Altera software.
The Quartus II Stand-Alone Programmer requires a minimum of 1 GB of RAM plus
additional memory, based on the size and number of SRAM Object Files (.sof) files
and the size and number of devices being configured.
Altera recommends that your system be configured to provide virtual memory equal
to the recommended physical RAM that is required to process your design.
The following table lists the memory required to process designs targeted for Altera
devices.

Recommended Physical RAM


Family Device
32-bit 64-bit
EP2AGX45 1.0 GB 1.5 GB
EP2AGX65 1.5 GB 2.0 GB
Arria II GX
EP2AGX95, EP2AGX125, EP2AGX190 3.0 GB 4.0 GB
EP2AGX260 4.0 GB 6.0 GB
EP2AGZ225 3.0 GB 4.0 GB
Arria II GZ EP2AGZ300 4.0 GB 6.0 GB
EP2AGZ350 Not recommended 8.0 GB
5AGXA1 Not supported 6.0 GB
5AGTC3, 5AGXA3, 5AGXA5 Not supported 8.0 GB
Arria V 5AGXA7, 5AGTC7 Not supported 10.0 GB
5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3 Not supported 12.0 GB
5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5 Not supported 16.0 GB
5AGZE1 Not supported 8.0 GB
Arria V GZ 5AGZE3, 5AGZE5 Not supported 12.0 GB
5AGZE7 Not supported 16.0 GB
EP3C5, EP3C10, EP3C16, EP3C25, EP3C40 512 MB 512 MB
Cyclone III EP3C55, EP3C80 768 MB 1.0 GB
EP3C120 1.5 GB 2.0 GB
EP3CLS70, EP3CLS100 1.5 GB 2.0 GB
Cyclone III LS
EP3CLS150, EP3CLS200 3.0 GB 4.0 GB
EP4CE6, EP4CE10, EP4CE15, EP4CE22,
512 MB 512 MB
EP4CE30, EP4CE40
Cyclone IV E
EP4CE55, EP4CE75 768 MB 1.0 GB
EP4CE115 1.0 GB 1.5 GB
EP4CGX15, EP4CGX22, EP4CGX30 512 MB 512 MB
Cyclone IV GX EP4CGX50, EP4CGX75 1.0 GB 1.5 GB
EP4CGX110, EP4CGX150 1.5 GB 2.0 GB

November 2013 Altera Corporation Quartus II Software and Device Support Release Notes Version 13.1
Memory Recommendations Page 4

Recommended Physical RAM


Family Device
32-bit 64-bit
5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5,
5CGTD5, 5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5,
Not recommended 6.0 GB
Cyclone V 5CSEA6, 5CSXC6, 5CEA7, 5CGTD7, 5CGXC7,
5CSEA2, 5CSEA4, 5CSXC2, 5CSXC4, 5CSTD6
5CEA9, 5CGTD9, 5CGXC9 Not recommended 8.0 GB
®
MAX II All 512 MB 512 MB
MAX V All 512 MB 512 MB
EP3SL50, EP3SE50, EP3SL70 1.0 GB 1.5 GB
EP3SE80 1.5 GB 2.0 GB
Stratix III
EP3SL110, EP3SE110, EP3SL150, EP3SL200 3.0 GB 4.0 GB
EP3SE260, EP3SL340 4.0 GB 6.0 GB
EP4SGX70 1.5 GB 2.0 GB
EP4SE230
EP4SGX110, EP4SGX230, EP4S40G2, 3.0 GB 4.0 GB
EP4S100G2
Stratix IV EP4SGX290 4.0 GB 6.0 GB
EP4SE360
Not recommended 8.0 GB
EP4SGX360, EP4S100G3, EP4S100G4
EP4SGX530, EP4SE530, EP4SE820,
Not recommended 12.0 GB
EP4S40G5, EP4S100G5
5SGSD3 Not supported 8.0 GB
5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5 Not supported 12.0 GB
5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6 Not supported 16.0 GB
Stratix V
5SGTC7, 5SGXA7, 5SGSD8 Not supported 20.0 GB
5SGXA9, 5SEE9 Not supported 24.0 GB
5SEEB, 5SGXAB, 5SGXB9, 5SGXBB Not supported 28.0 GB

November 2013 Altera Corporation Quartus II Software and Device Support Release Notes Version 13.1
Changes in Device Support Page 5

Changes in Device Support


The following section is divided into device support changes according to whether
the change is a notification, and whether the change has been fixed or not fixed.

Description Workaround

Change Notifications

Device Support Not Fixed

Dynamic Reconfiguration does not work in PMA Direct mode


with xN clocking Select the Use additional CMU/ATX Transmitter PLLs
Dynamic reconfiguration of the data rate of a PMA-only channel from outside the Transceiver block option in the
using xN Line clocking fed from two REFCLK sources is not Reconfiguration Settings page.
possible. This issue affects Stratix IV devices.

SignalTap II requires additional logic resources


Additional logic resources are required in SignalTap II to
calculate the CRC values of data shifted out of the device to
validate data integrity during JTAG communication. This extra Open the sld_signaltap.vhd top-level SignalTap
logic uses up to 77 logic elements. No SignalTap II IP megafunction plain-text source file in a text editor.
functionality is affected by this change. The Quartus II software Set the SLD_DISABLE_TDO_CRC_GEN parameter to 1.
automatically detects the availability of this CRC calculation.
However, this extra logic consumption may not be optimal for
your design.

Netlist viewers do not refresh when the design is recompiled


Close the Netlist Viewer window prior to compiling the
The Netlist Viewer will not update after recompilation if the design, or go to Processing > Start > Start Fitter/Start
Netlist Viewer window is open when you modify and recompile Analysis and Synthesis when you modify and recompile
the design by double-clicking on Fitter in the Task pane on the the design.
left side of the Quartus II software.

VHDL (*.vho) netlist fails to generate using EDA Netlist Writer Create a text file called quartus.ini in your project
in Cyclone V directory, if it does not already exist, and enter the
When you generate a VHDL simulation netlist (.vho) for following line:
Cyclone V devices, the EDA Netlist Writer generates the following INI (ALLOW_FUNC_SIM_NETLIST = ON)
message:
This generates the vhdl (*.vho) simulation netlist using
Warning (11101): Unable to generate the VHDL EDA the EDA Netlist Writer.
simulation netlist files because the Quartus II
software does not currently support VHDL Do not use this variable for all of your Quartus II
post-compilation simulation for the Cyclone V projects. It should be specific to the project where you
devices. are trying to simulate.

Stratix V and Arria V timing model miscorrelations


During timing model finalization of the last 28-nm devices, Altera Updates were made to the final timing models in version
identified timing model miscorrelations. Altera audited all devices 13.0 SP1 DP5. Version 13.1 also includes these new
and found issues affected other devices. Therefore the model models. Refer to solution number rd08122013_511 in
changes affect devices that had been designated with "Final" the Altera Knowledge Base.
timing status in previous versions of the Quartus II software.

November 2013 Altera Corporation Quartus II Software and Device Support Release Notes Version 13.1
Changes in Device Support Page 6

Description Workaround
1. Plug in the USB-Blaster II.
2. Open the Device Manager and right-click on the
Unknown device under the Other devices branch.
3. Select Update Driver Software.
4. Select Browse my computer for driver software.
5. Enter the location of the Quartus II software
USB-Blaster II driver files directory
(<quartus>/drivers/usb-blaster-ii) in the
Search for driver software in this location field.
USB Blaster II device drivers not automatically installed 6. Click Next.
The USB-Blaster™ II device drivers require manual installation so 7. Click Install in the Would you like to install this
that the cable will be properly recognized. device software? Windows security dialog box.
8. Close the Update Driver Software - Altera
USB-Blaster II (Unconfigured) successful installation
notification.
The Device Manager now shows a new branch called
JTAG cables with an Altera USB-Blaster II
(Unconfigured) node.
9. Open the Quartus II Programmer. Within a few
seconds, the JTAG cables branch displays two
nodes: Altera USB-Blaster II (JTAG interface) and
Altera USB-Blaster II (System Console interface).
Upgrade IP Components dialog box does not recognize some
IP changes from version 13.0 to 13.1
The Upgrade IP Components feature in the Quartus II software
version 13.1 does not recognize some optional IP updates
between versions 13.0 and 13.1. Affected IP cores are known to
include the following MegaCore functions:
■ CPRI
For information about updates made to an IP core in
■ DDR and DDR2 SDRAM Controllers with ALTMEMPHY IP version 13.1, consult the chapter pertaining to that IP
■ DDR3 SDRAM Controller with ALTMEMPHY core in the MegaCore IP Library Release Notes and
Errata version 13.1.
■ DDR2, DDR3, and LPDDR2 SDRAM Controller with UniPHY
■ FFT
■ SDI
■ SDI II
■ Triple Speed Ethernet
■ Video and Image Processing Suite

November 2013 Altera Corporation Quartus II Software and Device Support Release Notes Version 13.1
Changes in Device Support Page 7

Description Workaround

Device Support Fixed

Extra .svd files generated when used in conjuction with the


HPS in 13.0 SP1
In Qsys, the .svd files for a <project_name>.qsys file which
contains an HPS were generated in both the <project_name>
directory as well as the <project_name>/synthesis directory
in 13.0sp1. The proper location for those files is in the
<project_name>/synthesis directory.
If the HPS is instantiated as part of a custom _hw.tcl composed
component, the 13.0 SP1 software generated the following
identical files with different file names: This issue is corrected in the Quartus II software version
13.1.
■ <project>_<submodule>_<interface_or_address
_group_name>.svd
■ <project>_<submodule>_<submodule_in_composi
tion>_<interface_or_address_group_name>.s
vd.
The proper filename is
<project>_<submodule>_<submodule_in_composition>_<
interface_or_address_group_name>.svd

Incorrect synthesis when top-level VHDL entity has


unconstrained ports This issue is corrected in the Quartus II software version
The netlist cannot be generated when ports of variable 13.1.
dimensions are defined in the top-level VHDL entity.

Error issued when importing database that uses the DPA


feature prior to 13.0 SP1
A design compiled in a Quartus II software version earlier than
13.0 SP1 that targets an Arria V, Arria V GZ, or Stratix V device This issue is corrected in the Quartus II software version
and that uses the DPA feature encounters an error when its 13.1.
database is imported into the Quartus II software version
13.0 SP1 or later.

The Quartus II software does not automatically detect and


update IP cores for PCIe®
When creating a design with an IP core for PCI Express® (PCIe) This issue is corrected in the Quartus II software version
in Qsys prior to version 12.1, Regenerate IP Component in the 13.1.
Quartus II software does not automatically update the PCIe IP
core to the current version.

November 2013 Altera Corporation Quartus II Software and Device Support Release Notes Version 13.1
Changes in Device Support Page 8

Description Workaround

Error: Voltage Value 1.2V is not supported by part


In the Quartus II software version 13.0 SP1, if a design:
■ targets an Arria V GX device, and
■ VCCR_GXB is set to 1.2 V, or
■ VCCT_GXB is set to 1.2 V
This issue is corrected in the Quartus II software version
compilation fails with an error similar to the following: 13.1.
Internal Error: Sub-system: CUT, File:
/quartus/db/cut/cut_stratixv_hssi_pma_util.cpp,
Line: 1434
Voltage Value 1.2V is not supported by part

Recommended VCCR_GXB and VCCT_GXB settings for


Arria V GX C6 designs that run transceivers at less than or
equal to 3.125 Gbps
If your design targets an Arria V GX C6 device and implements a This issue is corrected in the Quartus II software version
data rate less than or equal to 3.125 Gbps, Altera recommends 13.1.
setting VCCR_GXB and VCCT_GXB to 1.1 V to reduce power
consumption. The Quartus II software sets the default
VCCR_GXB and VCCT_GXB settings to 1.15 V. You may change
the VCCR_GXB and VCCT_GXB settings to 1.1 V manually.

Assign LVDS I/O standard-supported pins in right I/O banks of


Arria V A1/A3/C3 devices as PLL clock input pins only
If you use the Quartus II software version 13.0 DP2 or 13.0 SP1
to create a design that targets an Arria V A1, A3, or C3 device, This issue is corrected in the Quartus II software version
and you use the LVDS I/O standard-enabled pins in the right I/O 13.1.
bank for purposes other than as phase-locked loop (PLL) clock
input pins, the resulting FPGA hardware might not function
properly.

Some IBIS models contain incorrect timing parameters


Some IBIS models are found to have incorrect values for the
following IBIS timing parameters: This issue is corrected in the Quartus II software version
13.0 SP1.
Vmeas, Rref, Rref_diff, Vref, Vinh and Vinl

Arria V GX VCCR_GXBR and VCCT_GXB power rail


voltage change
This issue is corrected in the Quartus II software version
Pin-out and power reports in the Quartus II software version 13.0 SP1.
13.0 incorrectly reported Arria V GX VCCR_GXB and VCCT_GXB
voltage as 1.2 V. The correct voltage is 1.15 V.

Arria V ST VCCR_GXBR and VCCT_GXB power rail voltage


change
This issue is corrected in the Quartus II software version
Pin-out and power reports in the Quartus II software version 13.0 SP1.
13.0 incorrectly reported Arria V GT VCCR_GXB and VCCT_GXB
voltage as 1.15 V. The correct voltage is 1.2 V.

November 2013 Altera Corporation Quartus II Software and Device Support Release Notes Version 13.1
Changes in Device Support Page 9

Description Workaround

Vertical migration is not supported in the U672 packages of


Cyclone V SE and SX devices This issue is corrected in the Quartus II software version
Vertical migration between these devices was disabled in 13.1.
Quartus II 13.0 sp1 and earlier software.

Device Support Removed


The Quartus II software version 13.1 does not include support
for the following device families:
■ Arria GX
■ Cyclone, Cyclone II
■ MAX 3000A, MAX 7000A, MAX 7000AE, MAX 7000B, MAX
7000S
■ HardCopy II, HardCopy III, HardCopy IV
■ Stratix, Stratix GX, Stratix II, Stratix II GX

November 2013 Altera Corporation Quartus II Software and Device Support Release Notes Version 13.1
Changes to Software Behavior Page 10

Changes to Software Behavior


This section documents instances in which the behavior and default settings of the
Quartus II software have been changed from earlier releases of the software.
Refer to the Quartus II Default Settings File (.qdf),
<Quartus II installation directory>/quartus/bin/assignment_defaults.qdf,
for a list of all the default assignment settings for the latest version of the Quartus II
software.
Items listed in the following table represent cases in which the behavior of the current
release of the Quartus II software is different from a previous version.

Description Workaround
If timing analysis of your design contains
inaccurate setup or hold relationships, you can
Timing analysis of some complex related cross-clock transfers resolve the inaccuracy by including the following
might be inaccurate line in your project’s quartus.ini file:
For some complex cross-clock transfers, the TimeQuest Timing timequest_enable_more_accuracy = on
Analyzer generates inaccurate setup or hold relationships using a If necessary, create a text file named quartus.ini in
wrong launch or latch clock edge. your project directory and add the above line to it.
The inaccuracy usually (but not necessarily) manifests itself as an If you include this line in your quartus.ini file and
unrealistically small (that is, 1 ps to 10 ps) setup or hold time have previously created SDC exceptions
requirement. (set_multicycle_path) to work around an
inaccurate setup or hold relationship, remove them. Review
all SDC exceptions to verify that they are still needed.
Changes to .svd file generation in Qsys Do not use files generated in the
In conjunction with the Hard Processor System (HPS) of SoC devices, <project_name> directory. Use the files
Qsys no longer generates .svd files in the <project_name> directory. generated in the <project_name>/synthesis
Qsys generates.svd files only in the <project_name>/synthesis directory instead.
directory.

If you instantiate the HPS as part of a custom _hw.tcl composed Do not use the
component, Qsys no longer generates <project>_<submodule>_<interface_or_ad
<project>_<submodule>_<submodule_in_composition>_<inter dress_group_name>.svd file. Use the
face_or_address_group_name>.svd files. Qsys generates only <project>_<submodule>_<submodule_in_co
<project>_<submodule>_<interface_or_address_group_name> mposition>_<interface_or_address_group
.svd files. _name>.svd file instead.

November 2013 Altera Corporation Quartus II Software and Device Support Release Notes Version 13.1
Device Support and Pin-Out Status Page 11

Device Support and Pin-Out Status


This section contains information about the device support status in the Quartus II
software version 13.1.

Full Device Support


Full compilation, simulation, timing analysis, and programming support is now
available for the new devices listed in the following table.

Device Family Devices


5ASXB3 5ASXB5
Arria V
5ASTD3 5ASTD5

5CSEA5 5CSEA6

Cyclone V 5CSTD5 5CSTD6

5CSXC5 5CSXC6

November 2013 Altera Corporation Quartus II Software and Device Support Release Notes Version 13.1
Timing and Power Models Page 12

Advance Device Support


Compilation, simulation, and timing analysis support is provided for the devices
listed in the following table that will be released in the near future. The Compiler
generates pin-out information for these devices in this release, but does not generate
programming files.

Device Family Devices


5CSEA2 5CSEA4
Cyclone V
5CSXC2 5CSXC4

Initial Information Device Support


Compilation, simulation, and timing analysis support is provided for the devices
listed in the following table that will be released in upcoming versions of the
Quartus II software. Programming files and pin-out information are not generated for
these devices in this release.

Device Family Devices


— —
None
— —

Timing and Power Models


The following table lists a summary of timing and power model status in the current
version of the Quartus II software.

Device Family Device Timing Model Status Power Model Status


5AGXB5 Final – 12.1 SP1 (3) Final – 13.1
5AGXB7 Final – 12.1 SP1 (3) Final – 13.1
5AGTD7 Final – 12.1 SP1 (3) Preliminary
5AGXA1 Preliminary
Final – 13.0 SP1 (3)
5AGXA3 Preliminary
5AGXA5 Final – 13.1 (3) Preliminary
Arria V
5AGXA7 Final – 13.1 (3) Preliminary
5AGXB1 Final – 13.0 (3) Final – 13.1
5AGXB3 Final – 13.0 (3) Final – 13.1
5AGTC3 Final – 13.0 SP1 (3) Preliminary
5AGTC7 Final – 13.1 (3) Final – 13.1
5AGTD3 Final –13.0 (3) Final – 13.1
Arria V GZ All Final – 12.1 SP1 (3) Final – 13.0

November 2013 Altera Corporation Quartus II Software and Device Support Release Notes Version 13.1
Timing and Power Models Page 13

Device Family Device Timing Model Status Power Model Status


5ASXB3
5ASXB5
Arria V SoC Preliminary Preliminary
5ASTD3
5ASTD5
EP4CGX15 Final – 10.1
Final – 11.0
EP4CGX22
Final – 11.0
EP4CGX30 Final – (1)
Cyclone IV GX EP4CGX50
Final – 11.0 Final –11.1
EP4CGX75
EP4CGX110
Final – 10.1 Final – 11.0
EP4CGX150
5CEA2 Final – 13.1
5CEA4 Final – 13.1
5CEA7 Final – 13.1
5CEA9 Final – 13.1
Final – 13.0 SP1
5CGXC7 Final – 13.1
5CGXC9 Final – 13.1
Cyclone V 5CGTD7 Final – 13.1
5CGTD9 Final – 13.1
5CEA5 Final – 13.1 Final – 13.1
5CGXC3 Final – 13.1 Preliminary
5CGXC4 Final – 13.1 Final – 13.1
5CGXC5 Final – 13.1 Final – 13.1
5CGTD5 Final – 13.1 Final – 13.1
5CSEA2
5CSEA4
5CSEA5
5CSEA6
5CSXC2
Cyclone V SoC Preliminary Preliminary
5CSXC4
5CSXC5
5CSXC6
5CSTD5
5CSTD6
MAX V All Final – 11.0 Final – 11.0

November 2013 Altera Corporation Quartus II Software and Device Support Release Notes Version 13.1
IBIS Models Page 14

Device Family Device Timing Model Status Power Model Status


5SGXA7, 5SGXA5,
Final – 12.1 (3) Final – 13.0
5SGTC5, 5SGTC7
5SGSD3, 5SGSD4,
5SGSD5, 5SGXA3,
Stratix V 5SGXA4, 5SGXB5,
Final – 12.1 SP1 (3) Final – 13.0
5SGXB6, 5SGXAB,
5SGXA9, 5SEE9, 5SEEB,
5SGXB9, 5SGXBB
5SGSD6, 5SGSD8 Final – 13.0 SP1 (3) Final – 13.0
Notes:
(1) EP4CGX30BF14 and EP4CGX30CF19 are final in 11.0, EP4CGX30CF23 final in 11.1.
(2) The timing model is updated for PMA Direct transceiver timing in Quartus II software release 12.0.
(3) The timing model is updated in Quartus II software version 13.1. Refer to the Device Support Fixed section for
details.

The current version of the Quartus II software also includes final timing and power
models for the Arria II GX, Arria II GZ, Cyclone III, Cyclone III LS, Cyclone IV E,
MAX II, MAX II Z, Stratix III, and Stratix IV device families. Timing models for these
device families became final in the Quartus II software versions 10.1 or earlier.

IBIS Models
The following table lists a summary of IBIS model status in the current version of the
Quartus II software.

Device Family IBIS Model Status


Arria II GX Correlated with PHY device operation – 10.0
Arria II GZ Correlated with PHY device operation – 10.1
Arria V Preliminary – 12.0
Cyclone III LS Correlated with PHY device operation – 10.0
Cyclone IV E Correlated with PHY device operation – 10.0 SP1
Cyclone IV GX Correlated with PHY device operation – 11.0
Cyclone V Preliminary – 12.0 SP1
MAX V Correlated with PHY device operation – 11.0
Stratix III Correlated with PHY device operation – 9.1
Stratix IV Correlated with PHY device operation – 9.1
Stratix V Correlated with PHY device operation – 13.0 SP1

November 2013 Altera Corporation Quartus II Software and Device Support Release Notes Version 13.1
EDA Interface Information Page 15

EDA Interface Information


The Quartus II software version 13.1 supports the following EDA tools.

NativeLink
Synthesis Tools Version
Support
Mentor Graphics® DK Design Suite 5.0 SP5 
Synopsys® Synplify, Synplify Pro, and Synplify Premier E-2013.09 
NativeLink
Simulation Tools Version
Support
9.2 SP1
Aldec Active-HDL
(Windows only)

Aldec Riviera-PRO 2013.06 
12.20.14
Cadence INCISIV Enterprise Simulator 
(Linux only)
Mentor Graphics ModelSim® PE 10.2b 
Mentor Graphics ModelSim SE 10.2b 
Mentor Graphics ModelSim-Altera 10.1d 
Mentor Graphics Questa® 10.2b 
Synopsys VCS and VCS MX 2013.06-1 
NativeLink
Formal Verification Tools (Equivalence Checking) Version
Support
Cadence Encounter Conformal 8.1 —

November 2013 Altera Corporation Quartus II Software and Device Support Release Notes Version 13.1
Antivirus Verification Page 16

Antivirus Verification
The Altera Complete Design Suite version 13.1 has been verified virus free using the
following software:
McAfee VirusScan Enterprise + AntiSpyware Enterprise Version: 8.8.0 (8.8.0.975)
Scan Engine Version (32 bit): 5600.1067
Scan Engine Version (64 bit): 5600.1067
DAT Version: 7234.0000

Software Issues Resolved


The following Customer Service Requests were fixed or otherwise resolved in the
Quartus II software version 13.1:

Customer Service Request Numbers Resolved in the Quartus II Software Version 13.1
10805592 10831170 10836726 10839355 10845840 10846688 10847869 10848497
10849603 10849831 10850854 10851594 10852414 10852592 10854917 10855980
10856905 10856921 10858957 10859422 10859798 10861069 10862046 10862144
10864410 10865226 10865391 10866504 10866729 10867195 10867263 10868606
10868978 10871077 10871537 10871872 10871950 10872623 10873127 10873303
10873398 10873763 10874412 10875202 10875432 10875768 10875769 10875774
10875790 10876217 10877882 10878359 10878366 10879724 10880146 10881152
10881533 10881668 10881818 10881865 10883496 10883858 10884395 10884610
10885366 10886409 10887123 10889295 10890118 10892158 10892439 10892641
10892853 10893197 10893596 10893805 10894090 10894300 10894308 10894643
10894840 10894992 10897105 10897596 10898264 10898541 10898768 10899464
10899701 10900555 10900719 10902028 10902130 10904246 10905665 10905835
10906172 10906405 10906562 10907189 10907254 10907618 10907655 10908832
10908861 10909800 10909831 10910247 10910270 10910770 10911014 10911037
10911525 10912497 10912944 10913479 10914290 10914936 10914972 10915236
10915346 10915495 10915864 10915923 10916426 10916932 10917872 10917927
10918308 10918539 10918610 10918670 10918892 10919100 10919335 10919663
10919762 10920109 10920196 10920834 10920902 10921012 10921171 10921318
10921921 10922058 10922064 10922236 10922290 10922344 10922765 10922913
10923068 10923927 10924034 10924071 10924142 10924678 10924768 10924956
10925017 10925225 10925535 10925727 10925987 10926346 10926378 10926467
10926491 10926778 10927045 10927446 10927488 10927517 10927941 10928029
10928040 10928267 10928467 10928581 10928604 10929859 10929922 10930088
10930162 10930248 10930440 10930444 10931194 10931208 10931308 10931468
10931607 10931610 10931657 10931681 10931683 10931714 10931887 10932011
10932494 10932726 10932770 10932857 10932991 10932992 10933004 10933169
10933357 10933451 10933585 10933612 10933634 10933816 10933826 10933926
10934033 10934092 10934414 10934418 10934505 10934516 10934564 10934634
10934678 10934694 10934753 10934773 10935525 10935629 10935655 10935693

November 2013 Altera Corporation Quartus II Software and Device Support Release Notes Version 13.1
Software Issues Resolved Page 17

Customer Service Request Numbers Resolved in the Quartus II Software Version 13.1
10935792 10936052 10936165 10936280 10936366 10936517 10936519 10936814
10936899 10937035 10937167 10937189 10937214 10937323 10937548 10937620
10937723 10937786 10937937 10938052 10938245 10938449 10938508 10938741
10938794 10938855 10939325 10939380 10939433 10939756 10939950 10939953
10940071 10940108 10940168 10940276 10940323 10940361 10940372 10940460
10940538 10940722 10940779 10940796 10941261 10941323 10941464 10941564
10941590 10941633 10941722 10941736 10941785 10941802 10941936 10942038
10942094 10942264 10942286 10942440 10942483 10942522 10942557 10942681
10942854 10943075 10943497 10943674 10943817 10943878 10943968 10944262
10944298 10944324 10944438 10944505 10944629 10945019 10945134 10945136
10945139 10945289 10945421 10945441 10945552 10945697 10945893 10945996
10946218 10946226 10946301 10946405 10946622 10946682 10946917 10946923
10947201 10947407 10947514 10947814 10947925 10947975 10947980 10947984
10948319 10948358 10948428 10948458 10948881 10948886 10948953 10949000
10949082 10949327 10949378 10949673 10949715 10950130 10950151 10950154
10950377 10950813 10950980 10951057 10951068 10951122 10951196 10951232
10951280 10951334 10951388 10951552 10951591 10951676 10951887 10952084
10952117 10952152 10952210 10952268 10952283 10952321 10952431 10952458
10952667 10952685 10952689 10952814 10952912 10952992 10952993 10953096
10953206 10953214 10953216 10953256 10953276 10953364 10953570 10953586
10953616 10953719 10953890 10953959 10954055 10954172 10954183 10954226
10954303 10954322 10954339 10954350 10954371 10954426 10954465 10954538
10954829 10955171 10955214 10955317 10955356 10955402 10955415 10955688
10955738 10955786 10956011 10956223 10956285 10956296 10956382 10956525
10956539 10956555 10956614 10956628 10956655 10956704 10956788 10956927
10956943 10957070 10957236 10957323 10957468 10957616 10957658 10957706
10957853 10957997 10958021 10958090 10958356 10958495 10958864 10959099
10959219 10959410 10959466 10959480 10959513 10959540 10959629 10959665
10959833 10959871 10959974 10959992 10959993 10960008 10960209 10960223
10960296 10960304 10960499 10960553 10960820 10960853 10960865 10960901
10961011 10961084 10961086 10961120 10961134 10961181 10961276 10961376
10961417 10961490 10961656 10961668 10961680 10961732 10961739 10961828
10962081 10962138 10962258 10962353 10962422 10962476 10962544 10962765
10962870 10962943 10962998 10963139 10963242 10963283 10963295 10963348
10963420 10963424 10963692 10963703 10963709 10963808 10963834 10963835
10963836 10964064 10964135 10964166 10964224 10964238 10964450 10964502
10964577 10964675 10964693 10964716 10964734 10964759 10964795 10965018
10965127 10965266 10965511 10965516 10965689 10965698 10965706 10965780
10965842 10965845 10966015 10966034 10966064 10966227 10966320 10966625
10966780 10966801 10966852 10966959 10967025 10967100 10967229 10967234

November 2013 Altera Corporation Quartus II Software and Device Support Release Notes Version 13.1
Software Patches Included in this Release Page 18

Customer Service Request Numbers Resolved in the Quartus II Software Version 13.1
10967333 10967407 10967496 10967548 10967600 10967672 10967985 10968007
10968156 10968191 10968219 10968474 10968496 10968520 10968592 10968746
10968781 10968887 10968905 10968964 10968988 10968991 10969012 10969060
10969065 10969092 10969122 10969174 10969193 10969253 10969254 10969260
10969323 10969541 10969552 10969555 10969579 10969712 10970047 10970267
10970298 10970577 10970586 10970621 10970650 10970685 10970725 10970787
10970935 10970976 10971054 10971113 10971131 10971179 10971390 10971552
10971882 10971942 10971994 10972102 10972249 10972309 10972355 10972459
10972525 10972614 10972663 10972711 10972778 10972943 10972948 10972962
10972966 10972980 10973244 10973274 10973355 10973421 10973442 10973500
10973624 10973711 10973731 10973780 10973951 10974020 10974319 10974459
10974570 10974965 10975168 10975216 10975714 10975815 10975884 10975974
10976249 10976428 10976776 10976883 10976930 10977026 10977320 10977429
10977442 10977650 10977653 10977704 10977811 10977855 10977894 10978287
10978474 10978489 10978638 10978675 10978694 10978814 10978946 10978977
10979311 10979331 10979359 10979365 10979548 10979686 10979820 10979823
10979868 10979965 10980142 10980188 10980289 10980347 10980361 10980467
10980485 10980501 10981046 10981074 10981136 10981173 10981231 10981551
10981606 10981610 10981838 10981934 10981961 10982151 10982260 10982393
10982423 10982937 10982972 10982989 10983032 10983174 10983697 10983757
10983908 10984022 10984055 10984102 10984125 10984164 10984167 10984530
10984742 10984795 10984907 10984908 10984959 10985035 10985474 10985819
10985878 10986103 10986197 10986338 10986459 10986481 10986486 10986870
10986937 10987527 10988266 10988995 10989112 10989429 10989946 10989965
10990154 10990857 10991390 10991622 10992160 10992231 10992245 10992594
10992787 10992804 10993065 10993341 10993419 10994478 10994576 10994593
10994609 10994675 10994744 10994766 10995547 10995793 10996075 10996888
10997353 10998895 — — — — — —

Software Patches Included in this Release


The Quartus II software version 13.1 includes the following patches released for
previous versions of the Quartus II software:

Quartus II Software Customer Service Quartus II Software Customer Service


Version Patch Request Number Version Patch Request Number

13.0sp1 1.dp5i 10989660 13.0 0.41 10958090

13.0sp1 1.dp5h — 13.0 0.40 10966895

13.0sp1 1.dp5d 10983480 13.0 0.39 10965706

13.0sp1 1.dp5c 10978851 13.0 0.38 10964466

November 2013 Altera Corporation Quartus II Software and Device Support Release Notes Version 13.1
Software Patches Included in this Release Page 19

Quartus II Software Customer Service Quartus II Software Customer Service


Version Patch Request Number Version Patch Request Number

13.0sp1 1.dp5a 10969193 13.0 0.37 10936899

13.0sp1 1.59 10991887 13.0 0.36 —

13.0sp1 1.58 — 13.0 0.33 10959833

13.0sp1 1.53 10983480 13.0 0.32 10959513

13.0sp1 1.52 10981838 13.0 0.30 —

13.0sp1 1.49 10963295 13.0 0.27 —

13.0sp1 1.48 — 13.0 0.26 10953890

13.0sp1 1.46 10980707 13.0 0.16 10914609

13.0sp1 1.43 10984075 13.0 0.15 —

13.0sp1 1.42 — 13.0 0.12 10952117

13.0sp1 1.41 10963403 13.0 0.10 10915236

13.0sp1 1.39 10978851 13.0 0.09 10922765

13.0sp1 1.38 10974361 13.0 0.08 10931681, 10936280

13.0sp1 1.36 10971535 13.0 0.03 —

13.0sp1 1.33 — 12.1sp1 1.dp7u 10965523

13.0sp1 1.30 10978946 12.1sp1 1.dp7r 10964714

13.0sp1 1.29 10970892 12.1sp1 1.dp7i 10952210

13.0sp1 1.28 10977868 12.1sp1 1.dp6k 10938449

13.0sp1 1.26 10963403 12.1sp1 1.dp6h 10941261

13.0sp1 1.22 10969193 12.1sp1 1.68 10969260

13.0sp1 1.15 10970690, 10965523 12.1sp1 1.65 10946604

13.0sp1 1.14 10934773 12.1sp1 1.64 10941323

13.0sp1 1.13 — 12.1sp1 1.62 10944324

13.0sp1 1.12 10965706 12.1sp1 1.59 10943817

13.0sp1 1.11 10964795 12.1sp1 1.58 10915236

13.0sp1 1.09 10954426 12.1sp1 1.57 —

13.0sp1 1.07 10961417 12.1sp1 1.56 10922765

13.0sp1 1.06 10964014 12.1sp1 1.54 —

13.0sp1 1.05 10936052 12.1sp1 1.42 10893805

13.0sp1 1.03 10952117 12.1sp1 1.41 —

13.0sp1 1.02 10969012 12.1sp1 1.40 10931208

November 2013 Altera Corporation Quartus II Software and Device Support Release Notes Version 13.1
Latest Known Quartus II Software Issues Page 20

Quartus II Software Customer Service Quartus II Software Customer Service


Version Patch Request Number Version Patch Request Number

13.0 0.dp2f 10969193 12.0sp2 2.49 10922765

13.0 0.dp2d 10954322 11.1sp2 2.54 10922765

13.0 0.dp2b — 11.1sp2 2.52 10937323, 10940796

13.0 0.46 10977894 11.1sp2 2.51 10910695

13.0 0.45 10983908 11.1sp2 2.50 10922765

13.0 0.43 — 11.0 0.61 10926378

13.0 0.42 10963228 — — —

Latest Known Quartus II Software Issues


This section provides information about issues that affect the Quartus II Software.

Description Workaround
To work around this error message, try the following:
■ Disable parallel compilation if it is enabled.
Internal Error: Linux could not unlock a mutex
■ Ensure that you have sufficient memory to run
The Quartus II software version 13.1 might report an internal error
the Quartus II software. Refer to “Memory
while compiling a design on Linux OS platforms. The error message is
Recommendations” on page 3.
Linux could not unlock a mutex.
■ Ensure that you have the latest version of the
kernel and glibc for your Linux distribution.

For more information about known software issues, look for information on the
Quartus II Software Support page at the following URL:
http://www.altera.com/support/software/sof-quartus.html
You can find known issue information for previous versions of the Quartus II
software on the Knowledge Database page at the following URL:
http://www.altera.com/support/kdb/kdb-index.jsp

Document Revision History


The following table shows the revision history for this document.
Document Revision History
Date Version Changes
November 2013 13.1.0 Initial release

November 2013 Altera Corporation Quartus II Software and Device Support Release Notes Version 13.1

You might also like