Richa 2
Richa 2
SISO
module siso(
input clk,rst,SI,
output S0
);
reg[3:0] shift_reg;
begin
end
assign S0 = shift_reg[3];
endmodule
TESTBENCH :
module siso_tb;
reg clk,SI,rst;
wire S0;
siso s1(clk,rst,SI,S0);
initial begin
clk=0;rst=1;SI=0;
#10 SI = 1;
#10 SI = 0;
#10 SI = 1;
#10 SI = 1;
#10 SI = 0;
#50 $finish;
End
Endmodule
RTL SCHEMATIC :
SIMULATION WAVEFORM :
SYNTHESIS REPORT :
Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
---------------------------------------------------------------------------------------------------------------------------------------------
| Tool Version : Vivado v.2024.1 (win64) Build 5076996 Wed May 22 18:37:14 MDT 2024
| Design : siso
| Device : xc7a35tcpg236-1
| Speed File : -1
---------------------------------------------------------------------------------------------------------------------------------------------
Utilization Design Information
Table of Contents
-----------------
1. Slice Logic
2. Memory
3. DSP
4. IO and GT Specific
5. Clocking
6. Specific Feature
7. Primitives
8. Black Boxes
9. Instantiated Netlists
1. Slice Logic
--------------
+-------------------------+------+-------+------------+-----------+-------+
+-------------------------+------+-------+------------+-----------+-------+
+-------------------------+------+-------+------------+-----------+-------+
* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design
after synthesis, if not already completed, for a more realistic count.
Warning! For any ECO changes, please run place_design if there are unplaced instances
1.1 Summary of Registers by Type
--------------------------------
+-------+--------------+-------------+--------------+
+-------+--------------+-------------+--------------+
|0 | _| -| -|
|0 | _| -| Set |
|0 | _| -| Reset |
|0 | _| Set | -|
|0 | _| Reset | -|
|0 | Yes | -| -|
|0 | Yes | -| Set |
|4 | Yes | -| Reset |
|0 | Yes | Set | -|
|0 | Yes | Reset | -|
+-------+--------------+-------------+--------------+
2. Memory
---------
+----------------+------+-------+------------+-----------+-------+
+----------------+------+-------+------------+-----------+-------+
| RAMB36/FIFO* | 0 | 0| 0| 50 | 0.00 |
+----------------+------+-------+------------+-----------+-------+
* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one
FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1
3. DSP
------
+-----------+------+-------+------------+-----------+-------+
+-----------+------+-------+------------+-----------+-------+
| DSPs | 0| 0| 0| 90 | 0.00 |
+-----------+------+-------+------------+-----------+-------+
4. IO and GT Specific
---------------------
+-----------------------------+------+-------+------------+-----------+-------+
+-----------------------------+------+-------+------------+-----------+-------+
| PHY_CONTROL | 0| 0| 0| 5 | 0.00 |
| PHASER_REF | 0| 0| 0| 5 | 0.00 |
| OUT_FIFO | 0| 0| 0| 20 | 0.00 |
| IN_FIFO | 0| 0| 0| 20 | 0.00 |
| IDELAYCTRL | 0| 0| 0| 5 | 0.00 |
| GTPE2_CHANNEL | 0| 0| 0| 2 | 0.00 |
| PHASER_OUT/PHASER_OUT_PHY | 0 | 0| 0| 20 | 0.00 |
| PHASER_IN/PHASER_IN_PHY | 0| 0| 0| 20 | 0.00 |
| IBUFDS_GTE2 | 0| 0| 0| 2 | 0.00 |
+-----------------------------+------+-------+------------+-----------+-------+
5. Clocking
-----------
+------------+------+-------+------------+-----------+-------+
| BUFGCTRL | 1 | 0| 0| 32 | 3.13 |
| BUFIO | 0| 0| 0| 20 | 0.00 |
| MMCME2_ADV | 0 | 0| 0| 5 | 0.00 |
| PLLE2_ADV | 0 | 0| 0| 5 | 0.00 |
| BUFMRCE | 0 | 0| 0| 10 | 0.00 |
| BUFHCE | 0| 0| 0| 72 | 0.00 |
| BUFR | 0| 0| 0| 20 | 0.00 |
+------------+------+-------+------------+-----------+-------+
6. Specific Feature
-------------------
+-------------+------+-------+------------+-----------+-------+
+-------------+------+-------+------------+-----------+-------+
| BSCANE2 | 0| 0| 0| 4 | 0.00 |
| CAPTUREE2 | 0 | 0| 0| 1 | 0.00 |
| DNA_PORT | 0 | 0| 0| 1 | 0.00 |
| EFUSE_USR | 0 | 0| 0| 1 | 0.00 |
| FRAME_ECCE2 | 0 | 0| 0| 1 | 0.00 |
| ICAPE2 | 0| 0| 0| 2 | 0.00 |
| PCIE_2_1 | 0 | 0| 0| 1 | 0.00 |
| STARTUPE2 | 0 | 0| 0| 1 | 0.00 |
| XADC | 0| 0| 0| 1 | 0.00 |
+-------------+------+-------+------------+-----------+-------+
7. Primitives
-------------
+----------+------+---------------------+
+----------+------+---------------------+
| IBUF | 3| IO |
| OBUF | 1| IO |
| BUFG | 1| Clock |
+----------+------+---------------------+
8. Black Boxes
--------------+----------+------+
+----------+------+
9. Instantiated Netlists
------------------------
+----------+------+
+----------+------+
TIMING SUMMARY :
POWER REPORT :
VERILOG CODE (SIPO BEHAVIORAL):
SIPO
module sipo(
);
if (rst)
else
end
endmodule
TESTBENCH :
module sipo_tb;
wire [3:0] P;
sipo s1 (
.clk(clk),
.rst(rst),
.SI(SI),
.PO(P)
);
// Clock generation with a period of 10 time units (5 time units for half-period)
initial begin
// Initial values
clk = 0; rst = 1; SI = 0;
#50 $finish;
end
endmodule
RTL SCHEMATIC :
SIMULATION WAVEFORM :
SYNTHESIS REPORT :
Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
---------------------------------------------------------------------------------------------------------------------------------------------
| Tool Version : Vivado v.2024.1 (win64) Build 5076996 Wed May 22 18:37:14 MDT 2024
| Design : sipo
| Device : xc7a35tcpg236-1
| Speed File : -1
---------------------------------------------------------------------------------------------------------------------------------------------
Table of Contents
-----------------
1. Slice Logic
2. Memory
3. DSP
4. IO and GT Specific
5. Clocking
6. Specific Feature
7. Primitives
8. Black Boxes
9. Instantiated Netlists
1. Slice Logic
--------------
+-------------------------+------+-------+------------+-----------+-------+
+-------------------------+------+-------+------------+-----------+-------+
+-------------------------+------+-------+------------+-----------+-------+
* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design
after synthesis, if not already completed, for a more realistic count.
Warning! For any ECO changes, please run place_design if there are unplaced instances
--------------------------------
+-------+--------------+-------------+--------------+
+-------+--------------+-------------+--------------+
|0 | _| -| -|
|0 | _| -| Set |
|0 | _| -| Reset |
|0 | _| Set | -|
|0 | _| Reset | -|
|0 | Yes | -| -|
|0 | Yes | -| Set |
|4 | Yes | -| Reset |
|0 | Yes | Set | -|
|0 | Yes | Reset | -|
+-------+--------------+-------------+--------------+
2. Memory
---------
+----------------+------+-------+------------+-----------+-------+
+----------------+------+-------+------------+-----------+-------+
| RAMB36/FIFO* | 0 | 0| 0| 50 | 0.00 |
+----------------+------+-------+------------+-----------+-------+
* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one
FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1
3. DSP
------
+-----------+------+-------+------------+-----------+-------+
+-----------+------+-------+------------+-----------+-------+
| DSPs | 0| 0| 0| 90 | 0.00 |
+-----------+------+-------+------------+-----------+-------+
4. IO and GT Specific
---------------------
+-----------------------------+------+-------+------------+-----------+-------+
+-----------------------------+------+-------+------------+-----------+-------+
| PHY_CONTROL | 0| 0| 0| 5 | 0.00 |
| PHASER_REF | 0| 0| 0| 5 | 0.00 |
| OUT_FIFO | 0| 0| 0| 20 | 0.00 |
| IN_FIFO | 0| 0| 0| 20 | 0.00 |
| IDELAYCTRL | 0| 0| 0| 5 | 0.00 |
| GTPE2_CHANNEL | 0| 0| 0| 2 | 0.00 |
| PHASER_OUT/PHASER_OUT_PHY | 0 | 0| 0| 20 | 0.00 |
| PHASER_IN/PHASER_IN_PHY | 0| 0| 0| 20 | 0.00 |
| IBUFDS_GTE2 | 0| 0| 0| 2 | 0.00 |
+-----------------------------+------+-------+------------+-----------+-------+
5. Clocking
-----------
+------------+------+-------+------------+-----------+-------+
+------------+------+-------+------------+-----------+-------+
| BUFGCTRL | 1 | 0| 0| 32 | 3.13 |
| BUFIO | 0| 0| 0| 20 | 0.00 |
| MMCME2_ADV | 0 | 0| 0| 5 | 0.00 |
| PLLE2_ADV | 0 | 0| 0| 5 | 0.00 |
| BUFMRCE | 0 | 0| 0| 10 | 0.00 |
| BUFHCE | 0| 0| 0| 72 | 0.00 |
| BUFR | 0| 0| 0| 20 | 0.00 |
+------------+------+-------+------------+-----------+-------+
6. Specific Feature
-------------------
+-------------+------+-------+------------+-----------+-------+
+-------------+------+-------+------------+-----------+-------+
| BSCANE2 | 0| 0| 0| 4 | 0.00 |
| CAPTUREE2 | 0 | 0| 0| 1 | 0.00 |
| DNA_PORT | 0 | 0| 0| 1 | 0.00 |
| EFUSE_USR | 0 | 0| 0| 1 | 0.00 |
| FRAME_ECCE2 | 0 | 0| 0| 1 | 0.00 |
| ICAPE2 | 0| 0| 0| 2 | 0.00 |
| PCIE_2_1 | 0 | 0| 0| 1 | 0.00 |
| STARTUPE2 | 0 | 0| 0| 1 | 0.00 |
| XADC | 0| 0| 0| 1 | 0.00 |
+-------------+------+-------+------------+-----------+-------+
7. Primitives
-------------
+----------+------+---------------------+
+----------+------+---------------------+
| OBUF | 4| IO |
| IBUF | 3| IO |
| BUFG | 1| Clock |
+----------+------+---------------------+
8. Black Boxes
--------------
+----------+------+
+----------+------+
9. Instantiated Netlists
------------------------
+----------+------+
+----------+------+
TIMING SUMMARY :
POWER REPORT :
input clk,
input rst,
input load,
output SO
);
reg[3:0] shift_reg;
begin
else if (load)shift_reg<=PI;
end
assign SO = shift_reg[3];
endmodule
TESTBENCH :
module piso_tb;
initial begin
#10 rst = 0;
#10 load = 0;
#50 $finish;
end
endmodule
RTL SCHEMATIC :
SIMULATION WAVEFORM :
SYNTHESIS REPORT :
Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
---------------------------------------------------------------------------------------------------------------------------------------------
| Tool Version : Vivado v.2024.1 (win64) Build 5076996 Wed May 22 18:37:14 MDT 2024
| Design : piso
| Device : xc7a35tcpg236-1
| Speed File : -1
---------------------------------------------------------------------------------------------------------------------------------------------
-----------------
1. Slice Logic
2. Memory
3. DSP
4. IO and GT Specific
5. Clocking
6. Specific Feature
7. Primitives
8. Black Boxes
9. Instantiated Netlists
1. Slice Logic
--------------
+-------------------------+------+-------+------------+-----------+-------+
+-------------------------+------+-------+------------+-----------+-------+
+-------------------------+------+-------+------------+-----------+-------+
* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design
after synthesis, if not already completed, for a more realistic count.
Warning! For any ECO changes, please run place_design if there are unplaced instances
+-------+--------------+-------------+--------------+
+-------+--------------+-------------+--------------+
|0 | _| -| -|
|0 | _| -| Set |
|0 | _| -| Reset |
|0 | _| Set | -|
|0 | _| Reset | -|
|0 | Yes | -| -|
|0 | Yes | -| Set |
|4 | Yes | -| Reset |
|0 | Yes | Set | -|
|0 | Yes | Reset | -|
+-------+--------------+-------------+--------------+
2. Memory
---------
+----------------+------+-------+------------+-----------+-------+
+----------------+------+-------+------------+-----------+-------+
| RAMB36/FIFO* | 0 | 0| 0| 50 | 0.00 |
+----------------+------+-------+------------+-----------+-------+
* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one
FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1
3. DSP
+-----------+------+-------+------------+-----------+-------+
+-----------+------+-------+------------+-----------+-------+
| DSPs | 0| 0| 0| 90 | 0.00 |
+-----------+------+-------+------------+-----------+-------+
4. IO and GT Specific
+-----------------------------+------+-------+------------+-----------+-------+
+-----------------------------+------+-------+------------+-----------+-------+
| PHY_CONTROL | 0| 0| 0| 5 | 0.00 |
| PHASER_REF | 0| 0| 0| 5 | 0.00 |
| OUT_FIFO | 0| 0| 0| 20 | 0.00 |
| IN_FIFO | 0| 0| 0| 20 | 0.00 |
| IDELAYCTRL | 0| 0| 0| 5 | 0.00 |
| GTPE2_CHANNEL | 0| 0| 0| 2 | 0.00 |
| PHASER_OUT/PHASER_OUT_PHY | 0 | 0| 0| 20 | 0.00 |
| PHASER_IN/PHASER_IN_PHY | 0| 0| 0| 20 | 0.00 |
| IBUFDS_GTE2 | 0| 0| 0| 2 | 0.00 |
+-----------------------------+------+-------+------------+-----------+-------+
5. Clocking
--
+------------+------+-------+------------+-----------+-------+
+------------+------+-------+------------+-----------+-------+
| BUFGCTRL | 1 | 0| 0| 32 | 3.13 |
| BUFIO | 0| 0| 0| 20 | 0.00 |
| MMCME2_ADV | 0 | 0| 0| 5 | 0.00 |
| PLLE2_ADV | 0 | 0| 0| 5 | 0.00 |
| BUFMRCE | 0 | 0| 0| 10 | 0.00 |
| BUFHCE | 0| 0| 0| 72 | 0.00 |
| BUFR | 0| 0| 0| 20 | 0.00 |
+------------+------+-------+------------+-----------+-------+
6. Specific Feature
+-------------+------+-------+------------+-----------+-------+
+-------------+------+-------+------------+-----------+-------+
| BSCANE2 | 0| 0| 0| 4 | 0.00 |
| CAPTUREE2 | 0 | 0| 0| 1 | 0.00 |
| DNA_PORT | 0 | 0| 0| 1 | 0.00 |
| EFUSE_USR | 0 | 0| 0| 1 | 0.00 |
| FRAME_ECCE2 | 0 | 0| 0| 1 | 0.00 |
| ICAPE2 | 0| 0| 0| 2 | 0.00 |
| PCIE_2_1 | 0 | 0| 0| 1 | 0.00 |
| STARTUPE2 | 0 | 0| 0| 1 | 0.00 |
| XADC | 0| 0| 0| 1 | 0.00 |
+-------------+------+-------+------------+-----------+-------+
7. Primitives
-------------
+----------+------+---------------------+
+----------+------+---------------------+
| IBUF | 7| IO |
| LUT3 | 3| LUT |
| OBUF | 1| IO |
| LUT2 | 1| LUT |
| BUFG | 1| Clock |
+----------+------+---------------------+
8. Black Boxes
+----------+------+
+----------+------+
9. Instantiated Netlists
------------------------
+----------+------+
| Ref Name | Used |
+----------+------+
TIMING SUMMARY :
POWER REPORT :
VERILOG CODE (PIPO BEHAVIORAL):
PIPO
module pipo(
input clk,
input rst,
input load,
);
begin
if (rst)
else if (load)
End
endmodule
TESTBENCH :
module pipo_tb;
pipo p1 (
.clk(clk),
.rst(rst),
.load(load),
.PI(PI),
.PO(PO)
);
// Clock generation
// Test sequence
initial begin
// Initialize signals
clk = 0;
load = 0;
PI = 4'b0000;
// Apply reset
#10 load = 0;
#10 load = 0;
#10 load = 0;
#50 $finish;
end
endmodule
RTL SCHEMATIC :
SIMULATION WAVEFORM :
SYNTHESIS REPORT :
Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
---------------------------------------------------------------------------------------------------------------------------------------------
| Tool Version : Vivado v.2024.1 (win64) Build 5076996 Wed May 22 18:37:14 MDT 2024
| Design : pipo_try
| Device : xc7a35tcpg236-1
| Speed File : -1
---------------------------------------------------------------------------------------------------------------------------------------------
Utilization Design Information
Table of Contents
-----------------
1. Slice Logic
2. Memory
3. DSP
4. IO and GT Specific
5. Clocking
6. Specific Feature
7. Primitives
8. Black Boxes
9. Instantiated Netlists
1. Slice Logic
--------------
+-------------------------+------+-------+------------+-----------+-------+
+-------------------------+------+-------+------------+-----------+-------+
+-------------------------+------+-------+------------+-----------+-------+
* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design
after synthesis, if not already completed, for a more realistic count.
Warning! For any ECO changes, please run place_design if there are unplaced instances
1.1 Summary of Registers by Type
--------------------------------
+-------+--------------+-------------+--------------+
+-------+--------------+-------------+--------------+
|0 | _| -| -|
|0 | _| -| Set |
|0 | _| -| Reset |
|0 | _| Set | -|
|0 | _| Reset | -|
|0 | Yes | -| -|
|0 | Yes | -| Set |
|4 | Yes | -| Reset |
|0 | Yes | Set | -|
|0 | Yes | Reset | -|
+-------+--------------+-------------+--------------+
2. Memory
---------
+----------------+------+-------+------------+-----------+-------+
+----------------+------+-------+------------+-----------+-------+
| RAMB36/FIFO* | 0 | 0| 0| 50 | 0.00 |
+----------------+------+-------+------------+-----------+-------+
* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one
FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1
3. DSP
------+-----------+------+-------+------------+-----------+-------+
| Site Type | Used | Fixed | Prohibited | Available | Util% |
+-----------+------+-------+------------+-----------+-------+
| DSPs | 0| 0| 0| 90 | 0.00 |
+-----------+------+-------+------------+-----------+-------+
4. IO and GT Specific
+-----------------------------+------+-------+------------+-----------+-------+
+-----------------------------+------+-------+------------+-----------+-------+
| PHY_CONTROL | 0| 0| 0| 5 | 0.00 |
| PHASER_REF | 0| 0| 0| 5 | 0.00 |
| OUT_FIFO | 0| 0| 0| 20 | 0.00 |
| IN_FIFO | 0| 0| 0| 20 | 0.00 |
| IDELAYCTRL | 0| 0| 0| 5 | 0.00 |
| GTPE2_CHANNEL | 0| 0| 0| 2 | 0.00 |
| PHASER_OUT/PHASER_OUT_PHY | 0 | 0| 0| 20 | 0.00 |
| PHASER_IN/PHASER_IN_PHY | 0| 0| 0| 20 | 0.00 |
| IBUFDS_GTE2 | 0| 0| 0| 2 | 0.00 |
+-----------------------------+------+-------+------------+-----------+-------+
5.. Clocking
-----------
+------------+------+-------+------------+-----------+-------+
+------------+------+-------+------------+-----------+-------+
| BUFGCTRL | 1 | 0| 0| 32 | 3.13 |
| BUFIO | 0| 0| 0| 20 | 0.00 |
| MMCME2_ADV | 0 | 0| 0| 5 | 0.00 |
| PLLE2_ADV | 0 | 0| 0| 5 | 0.00 |
| BUFMRCE | 0 | 0| 0| 10 | 0.00 |
| BUFHCE | 0| 0| 0| 72 | 0.00 |
| BUFR | 0| 0| 0| 20 | 0.00 |
+------------+------+-------+------------+-----------+-------+
6. Specific Feature
-------------------
+-------------+------+-------+------------+-----------+-------+
+-------------+------+-------+------------+-----------+-------+
| BSCANE2 | 0| 0| 0| 4 | 0.00 |
| CAPTUREE2 | 0 | 0| 0| 1 | 0.00 |
| DNA_PORT | 0 | 0| 0| 1 | 0.00 |
| EFUSE_USR | 0 | 0| 0| 1 | 0.00 |
| FRAME_ECCE2 | 0 | 0| 0| 1 | 0.00 |
| ICAPE2 | 0| 0| 0| 2 | 0.00 |
| PCIE_2_1 | 0 | 0| 0| 1 | 0.00 |
| STARTUPE2 | 0 | 0| 0| 1 | 0.00 |
| XADC | 0| 0| 0| 1 | 0.00 |
+-------------+------+-------+------------+-----------+-------+
7. Primitives
-------------
+----------+------+---------------------+
+----------+------+---------------------+
| IBUF | 7| IO |
| OBUF | 4| IO |
| BUFG | 1| Clock |
+----------+------+---------------------+
8. Black Boxes
-------+----------+------+
+----------+------
9. Instantiated Netlists
------------------------+----------+------+
+----------+------+
TIMING SUMMARY :
POWER REPORT :