chipscope-jobs-in-vasai, Vasai

1 Chipscope Jobs nearby Vasai

Toggle to save search
posted 3 weeks ago
experience3 to 7 Yrs
location
Maharashtra
skills
  • VHDL
  • RTL design
  • FPGA design
  • Lattice
  • Modelsim
  • Questasim
  • UART
  • I2C
  • SPI
  • AMBA
  • AXI
  • Verilog Programming
  • FPGA design tools
  • Xilinx
  • Intel
  • Microchip FPGA
Job Description
Role Overview: As an Engineer / Senior Engineer / Technical Lead (FPGA), you will be responsible for utilizing hardware tools such as oscillators and logic analyzers for hardware debugging. You should have a strong understanding of digital electronics and design practices. It is essential to have a strong sense of ownership, be passionate, fast learner, have an analytical mindset, and strive for perfection. Excellent interpersonal, communication, collaboration, and presentation skills are key for this role. Key Responsibilities: - Strong VHDL/Verilog Programming skills - In-depth knowledge of RTL design, FPGA design, and FPGA design tools - Proficiency in the complete FPGA development flow, including logic design, place & route, timing analysis closure, simulation, verification, and validation - Experience with Xilinx/Intel/Lattice/Microchip FPGA families and corresponding development tools - Familiarity with verification/simulation tools like Modelsim/Questa-sim - Strong troubleshooting and debugging skills for FPGA implementations on hardware boards - Ability to debug HW/SW issues using equipment/tools such as oscilloscope, logic analyzer, Chipscope/ILA/Signal Tap - Understanding of synthesis reports, performing timing analysis, and writing FPGA design constraints - Hands-on experience with communication protocols (UART/I2C/SPI) and bus interfaces (AMBA/AXI) Qualifications Required: - Bachelor's or Master's degree in Electrical Engineering, Computer Engineering, or related field - Proficiency in VHDL/Verilog Programming - Experience in FPGA development and debugging - Strong knowledge of digital electronics and design practices Additional Details: The job location for this position includes Bangalore, Pune, and Ahmedabad. This role is a full-time position falling under the Engineering Services job category.,
ACTIVELY HIRING

Top Companies are Hiring in Your City

For Multiple Roles

Jio Platforms Ltd
Jio Platforms Ltdslide-preview-Genpact
posted 2 months ago
experience2 to 6 Yrs
location
Karnataka
skills
  • RTL coding
  • Verilog
  • VHDL
  • FPGA design
  • Functional verification
  • ModelSim
  • PCIe
  • Gigabit Ethernet
  • DDR3
  • SPI
  • UART
  • I2C
  • Static Timing Analysis
  • Chipscope
  • System Verilog
  • DSO
  • Logic Analyzer
  • Spectrum Analyzer
  • Quality Process
  • Configuration Management
  • Communication skills
  • Highspeed interfaces
  • SPI42
  • SFI42
  • UTOPIA
  • POS PHY
  • USB23
  • Aurora
  • FPGA onchip debugging
  • Signaltap
  • Test bench development
  • Hardware test equipment
  • Network Analyzers
  • Traffic Generators
  • Hardware Schematics
Job Description
You will be a member of the FPGA development team designing and developing complex FPGAs for use in state-of-the-art embedded systems. As an FPGA Design Engineer, you will be involved in the various stages of FPGA development life cycle - design, coding, verification, and validation of FPGAs. **Key Responsibilities:** - Experience in design of FPGA functional blocks - Excellent RTL coding skills in Verilog / VHDL - Familiarity with AMD (Xilinx) / Intel (Altera) / Lattice / Microchip FPGAs - Familiarity with AMD (Xilinx) ISE, Vivado / Intel (Altera) Quartus / Lattice Diamond / Microchip Libero FPGA tools - Experience in Functional verification using ModelSim - Familiarity with one or more of high-speed interfaces: PCIe, SPI-4.2, SFI-4.2, Gigabit Ethernet, UTOPIA, POS PHY, USB2/3, DDR3, SPI, UART, I2C, Aurora etc. - Exposure to FPGA Static Timing Analysis - Experience in FPGA on-chip debugging- Chipscope / Signaltap - Test bench development in VHDL / Verilog / System Verilog - Familiarity with hardware test equipment: High-Speed DSO, Logic Analyzer, Spectrum Analyzer, Network Analyzers, Traffic Generators, etc. - Understanding of Hardware Schematics - Familiarity in Quality Process and Configuration Management tools - Good oral and written communication skills **Qualifications Required:** - BE / B.Tech / M.Tech in Electronics & Communication Engineering You will find an environment at ProcSys that fosters personal growth and professional challenges, where you can practice the Art of the Possible and create value for both the customer and yourself. As a part of the ProcSys family, you can expect a nurturing and supportive work culture that values personal integrity, team-work, and professional success.,
ACTIVELY HIRING
posted 2 months ago
experience3 to 7 Yrs
location
Karnataka
skills
  • RTL coding
  • Verilog
  • VHDL
  • ModelSim
  • PCIe
  • Gigabit Ethernet
  • DDR3
  • SPI
  • UART
  • I2C
  • Static Timing Analysis
  • Scripting languages
  • Python
  • ADC
  • DACs
  • Chipscope
  • System Verilog
  • Microprocessors
  • Microcontrollers
  • TCPIP
  • Networking protocols
  • Quality Process
  • Configuration Management
  • Communication skills
  • Presentation skills
  • FPGA tools
  • SPI42
  • SFI42
  • UTOPIA
  • POS PHY
  • USB23
  • Aurora
  • TCL
  • Signaltap
  • Test bench development
  • Hardware test equipment
  • L2L3 switching
  • Hardware Schematics
  • Organizational skills
  • Motivational skills
Job Description
As a member of the FPGA development team at ProcSys, you will be involved in designing and developing complex FPGAs for state-of-the-art embedded systems. Your role as an FPGA Senior Design Engineer / Module Lead will encompass various responsibilities including requirements gathering, architecture and detailed design of FPGAs, coding, code walk, development of verification and validation plans, documentation, technical reviews, maintaining quality standards, and mentoring team members. Key Responsibilities: - Experience in architecture design, development, and verification of complex FPGAs - Excellent RTL coding skills in Verilog/VHDL - Familiarity with AMD (Xilinx) / Intel (Altera) / Lattice / Microchip FPGAs - Familiarity with FPGA tools such as AMD (Xilinx) ISE, Vivado / Intel (Altera) Quartus / Lattice Diamond / Microchip Libero - Experience in Functional verification using ModelSim - Familiarity with high-speed interfaces like PCIe, SPI-4.2, SFI-4.2, Gigabit Ethernet, UTOPIA, POS PHY, USB2/3, DDR3, SPI, UART, I2C, Aurora etc. - Exposure to FPGA Static Timing Analysis - Knowledge of scripting languages (TCL, Python) - Knowledge of Interfacing FPGA to ADC, DACs - Experience in FPGA on-chip debugging with Chipscope/Signaltap - Test bench development in VHDL / Verilog / System Verilog - Familiarity with hardware test equipment like High-Speed DSO, Logic Analyzer, Spectrum Analyzer, Network Analyzers, Traffic Generators, etc. - Knowledge of high-speed Microprocessors / Micro-controllers, L2/L3 switching, TCP/IP, and other networking protocols - Understanding of Hardware Schematics - Familiarity with Quality Process and Configuration Management tools - Good oral and written communication skills - Strong organizational, presentation, and motivational skills Qualification Required: - 3 to 5 years of experience in FPGA Development - Education Qualification: BE / B.Tech / M.Tech in Electronics & Communication Engineering,
ACTIVELY HIRING
question

Are these jobs relevant for you?

posted 1 month ago
experience3 to 7 Yrs
location
Karnataka
skills
  • VHDL
  • Verilog
  • PCIe
  • DDR
  • Ethernet
  • MIPI
  • SPI
  • I2C
  • UART
  • GPIO
  • AXI
  • AMBA
  • UVM
  • SystemVerilog
  • ModelSim
  • Questa
  • Chipscope
  • Xilinx Vivado
  • Intel Quartus
  • Lattice Diamond
  • Avalon
  • Vivado Simulator
  • Synopsys VCS
  • SignalTap
Job Description
As a Senior FPGA Engineer at izmo Microsystems Ltd., you will play a crucial role in architecting and implementing FPGA-based systems for high-performance digital logic applications. With a minimum of 3 to 6+ years of hands-on experience in FPGA development, you will be responsible for various key tasks and collaborate with cross-functional teams to ensure the success of next-generation electronic systems. Key Responsibilities: - Architect and implement FPGA-based systems using VHDL or Verilog to meet high-performance requirements. - Develop RTL code, simulation testbenches, and synthesis scripts while considering timing, power, and area constraints. - Integrate FPGA designs with embedded processors, memory interfaces, and peripheral components effectively. - Collaborate with hardware teams on custom PCB designs involving modern FPGA/SoC devices. - Create simulation and verification environments using tools like ModelSim, Questa, or Vivado Simulator. - Perform lab-based debugging and bring-up using oscilloscopes, logic analyzers, and JTAG tools. - Conduct performance analysis and optimization of FPGA implementations for throughput and latency improvements. - Define hardware/software interfaces and protocols to ensure seamless communication between FPGA and host systems. - Support board-level integration and validation, including signal integrity and timing closure. - Engage with vendors for FPGA component selection, IP licensing, and toolchain support. - Participate in design reviews, documentation, and cross-functional meetings. Technical Skills Required: - Strong command of VHDL and/or Verilog for FPGA development. - Experience with FPGA toolchains such as Xilinx Vivado, Intel Quartus, or Lattice Diamond. - Proficiency in timing analysis & constraints using tools like SDC, XDC, and STA for timing closure. - Familiarity with high-speed interfaces like PCIe, DDR, Ethernet, MIPI, and low-speed protocols including SPI, I2C, UART, GPIO. - Knowledge of embedded integration using AXI, Avalon, AMBA buses for SoC designs. - Ability to develop testbenches using UVM, SystemVerilog, or custom frameworks, and work with simulation tools like ModelSim, Questa, Vivado Simulator, or Synopsys VCS. - Experience with debugging tools like Chipscope, SignalTap, or custom logic analyzers, and consideration of power and thermal aspects in FPGA deployments. Desired Skills: - Ability to lead and mentor development teams effectively. - Collaborative work approach in cross-functional environments to contribute to overall team success. Educational Qualifications & Experience: - Master's/Bachelor's degree in Electronics and Communications or equivalent. - 3 to 6+ years of professional experience in FPGA development. Join izmo Microsystems Ltd. in Bangalore as a Senior FPGA Engineer and be a part of a leading semiconductor and systems company driving innovation in electronic systems development.,
ACTIVELY HIRING
posted 1 week ago

Project Lead - FPGA

Logic-fruit Technologies
experience6 to 10 Yrs
location
Karnataka
skills
  • RTL coding
  • VHDL
  • Verilog
  • Modelsim
  • Questasim
  • Planahead
  • Altera Quartus
  • Chipscope
  • Logic analyzer
  • Scope
  • PCIe
  • USB
  • ADC
  • DAC
  • SRAM
  • UART
  • I2C
  • SPI
  • FPGAbased digital designs
  • Xilinx
  • Altera FPGAs
  • Xilinx Vivado
  • Signal Tap
  • FPGA editor
  • DSP algorithms
  • Ethernet transceivers
  • DDRx
  • AMBAAXI
Job Description
As an FPGA Design Lead, you will be responsible for managing and leading a team of design engineers to implement complex FPGA IPs and FPGA-based digital designs. Your primary tasks will include understanding project requirements, defining architecture and microarchitecture with proper documentation, and guiding the team through system development, verification, debugging, and HW/SW integration. Key Responsibilities: - Develop RTL code for implementing FPGA-based digital designs, from specification stage to system integration - Design logic for high-speed serial protocols such as PCIe gen5, Gen6, USB 3.2, Ethernet 10G/25G/100G, digital signal processing, and control logic - Understand customer requirements and product definition - Define architecture and detailed design specifications based on requirements and trade-offs - Micro-architecture and coding of assigned modules in VHDL/Verilog - Write test benches to verify design for complete scenario coverage - Implement designs on FPGA after optimization based on available resources and timing closure requirements - Debug FPGA and integrate HW/SW Qualifications Required: - 6+ years of experience in FPGA-based projects - Proficient in VHDL and/or Verilog coding - Experience with Xilinx and/or Altera FPGAs - Familiarity with tools like Modelsim, Questasim, Xilinx Vivado, Planahead, Altera Quartus - Knowledge of debugging tools such as Chipscope, Signal Tap, Logic analyzer, Scope, FPGA editor - Experience in implementing designs with multiple clock domains - Understanding of coding styles for FPGAs and trade-offs for density and speed - Appreciated experience in RTL implementation of DSP algorithms - Appreciated experience in development of PCIe, USB, Ethernet transceivers, DDRx, ADC, DAC, AMBA-AXI, SRAM, USB, UART, I2C, SPI Apply Now to join our team as a Project Lead (FPGA) and contribute to cutting-edge FPGA projects in Gurugram/Bengaluru.,
ACTIVELY HIRING
posted 2 weeks ago
experience4 to 12 Yrs
location
All India
skills
  • FPGA
  • RTL coding
  • EDA tools
  • Synplify
  • ISE
  • Chipscope
  • Scripting languages
  • Perl
  • Python
  • VerilogSV
  • Vivado
  • FPGA architectures
  • Lab equipment
  • Interface protocols
  • BluetoothBLE Technologies
Job Description
As a member of the team at Qualcomm India Private Limited, you will be part of a group specializing in designing IP and wireless sub-systems for cutting-edge products. Your focus will be on next-generation Wireless PAN technologies such as Bluetooth, UWB, Thread, etc., for connectivity solutions in mobile phones, wearables, IOT, and Voice & Music chips. Key Responsibilities: - Collaborate with IP designers, Verification engineers, Validation engineers, Firmware engineers, and SoC engineers to validate Bluetooth and other PAN IPs on Qualcomm proprietary and industry standard emulation platforms - Code emulation specific RTL for IP testing - Adapt designs for FPGA, define timing constraints, run synthesis, floorplan design, run place & route tools, and generate bit files - Work closely with validation and Firmware engineers to instrument test structures for signal observation and logging during debug using lab equipment such as ILA/Chipscope, Logic Analyzer, Oscilloscope Qualifications Required: - Bachelor's or Master's Degree in Engineering in Electronics, VLSI, Communications, or related field - 8 years of industry experience in Digital Design - Exposure to Bluetooth/BLE Technologies and scripting languages like Perl and/or Python is a plus In this role, you will leverage your 8-12 years of hands-on experience in implementing designs on FPGA, strong expertise in RTL coding using Verilog/SV, and proficiency in FPGA EDA tools such as Synplify, Vivado, ISE, and Chipscope. Your knowledge of the latest FPGA architectures and partitioning designs across multiple FPGAs, along with familiarity with scripting languages and interface protocols, will be beneficial. Strong debugging, analytical, and communication skills are essential for success in this position. Please note that Qualcomm is an equal opportunity employer and is committed to providing accommodations for individuals with disabilities throughout the application/hiring process. For any disability-related accommodation needs, you can reach out to disability-accommodations@qualcomm.com. As a member of the team at Qualcomm India Private Limited, you will be part of a group specializing in designing IP and wireless sub-systems for cutting-edge products. Your focus will be on next-generation Wireless PAN technologies such as Bluetooth, UWB, Thread, etc., for connectivity solutions in mobile phones, wearables, IOT, and Voice & Music chips. Key Responsibilities: - Collaborate with IP designers, Verification engineers, Validation engineers, Firmware engineers, and SoC engineers to validate Bluetooth and other PAN IPs on Qualcomm proprietary and industry standard emulation platforms - Code emulation specific RTL for IP testing - Adapt designs for FPGA, define timing constraints, run synthesis, floorplan design, run place & route tools, and generate bit files - Work closely with validation and Firmware engineers to instrument test structures for signal observation and logging during debug using lab equipment such as ILA/Chipscope, Logic Analyzer, Oscilloscope Qualifications Required: - Bachelor's or Master's Degree in Engineering in Electronics, VLSI, Communications, or related field - 8 years of industry experience in Digital Design - Exposure to Bluetooth/BLE Technologies and scripting languages like Perl and/or Python is a plus In this role, you will leverage your 8-12 years of hands-on experience in implementing designs on FPGA, strong expertise in RTL coding using Verilog/SV, and proficiency in FPGA EDA tools such as Synplify, Vivado, ISE, and Chipscope. Your knowledge of the latest FPGA architectures and partitioning designs across multiple FPGAs, along with familiarity with scripting languages and interface protocols, will be beneficial. Strong debugging, analytical, and communication skills are essential for success in this position. Please note that Qualcomm is an equal opportunity employer and is committed to providing accommodations for individuals with disabilities throughout the application/hiring process. For any disability-related accommodation needs, you can reach out to disability-accommodations@qualcomm.com.
ACTIVELY HIRING
posted 1 week ago

FPGA Engineer

A&W Engineering Works
experience3 to 7 Yrs
location
Gujarat, Vadodara
skills
  • FPGA design
  • Verilog HDL
  • VHDL
  • ISE
  • Chipscope
  • SoC
  • AMBA
  • AXI
  • USB
  • PCIe
  • DDR2
  • DDR3
  • I2C
  • SPI
  • Control logic state machines
  • DSP algorithms
  • SignalTap
  • Xilinx FPGAs
  • Altera FPGAs
  • Quartus II
  • Vivado
  • QSYS
  • Avalon
  • DDR4
  • QSPI
Job Description
You are an FPGA design engineer with at least 3+ years of experience, located in Vadodara, India. You will be responsible for implementing control logic state machines and DSP algorithms in FPGA fabric for high throughput systems. Your role will require excellent troubleshooting and debug skills for both simulation and in-circuit scenarios. Your expertise should include: - Continual integration of unit modules into FPGA top level - Extensive experience using SignalTap to debug logic and/or timing issues driven by software functional tests - Constructing bypass, data taps, and data pattern generators for isolation and unit/subsystem - Timing closure, constraints file management, basic glue logic between DSP blocks - Ability to perform power estimation (Both pre-implementation and post-implementation) - Working with board designers for optimal pin-out mappings - Collaborating with software team members to create, execute, and debug unit-level and system-level verification tests - Proficiency in HDL simulation tool like ModelSim or similar - Experience with Verilog HDL/VHDL - Familiarity with Xilinx/Altera FPGAs, Quartus II/Vivado/ISE, and SignalTap/Chipscope - Taking ownership of the project and related tasks It would be good to have expertise in: - SoC and QSYS - Protocols such as AMBA, AXI, Avalon, USB, PCIe - Memory interfaces like DDR2/DDR3/DDR4 - Control buses like I2C and SPI/QSPI About A&W Engineering Works: A&W Engineering Works is focused on developing and deploying innovative solutions to real-world problems. The company handles the entire system from front-end sensors to back-end applications, including analog, digital signal processing, and algorithmic data and control paths. The team possesses expertise in hardware, software, mechanical, and system development, enabling them to tackle challenging problems with unique innovative development techniques for fast prototyping. If you are interested in this position, please email your resume and cover letter to [email protected] with the job title mentioned in the subject line.,
ACTIVELY HIRING
posted 1 month ago
experience12 to 16 Yrs
location
All India
skills
  • Verilog
  • System Verilog
  • FPGA
  • RTL design
  • Timing closure
  • Python
  • ChipScope
  • PCIe
  • Ethernet
  • Microblaze
  • ASIC
  • Tcl
  • Intel Altera
  • Xilinx
  • HardIP Embedded ARM Cores
  • CXL
  • Nios
Job Description
In this role as an FPGA Prototyping (Platform) Lead, you will be responsible for developing FPGA prototypes for Chiplets and SOCs using commercially available FPGA prototyping platforms. You will collaborate closely with cross functional teams to define system requirements and design FPGA platforms with high-speed processors and I/Os. Additionally, you will build/modify FPGA-friendly RTL, design partitioning and timing convergence, and generate bit streams to enhance the performance of the prototype. Your role will also involve collaborating with systems architects, FW/SW teams to bring up and test systems combining FPGA, Firmware, and Software. Your expertise in Verilog/System Verilog, FPGA logic synthesis design partitioning, routing for FPGA fit, and handling RTL to bitstream design cycle on million+ gate FPGAs will be crucial. You should also have experience in understanding timing reports and timing closure of FPGA Design. Furthermore, experience with modern ASIC/FPGA design and verification tools, scripting languages like Tcl, Python, Intel Altera or Xilinx FPGAs, debugging tools such as ChipScope or custom debug tools, and strong communication and problem-solving skills are essential for this role. You must be a self-starter and able to independently drive tasks to completion. Qualifications Required: - Bachelor's or Master's degree in Electrical/Electronics or Computer Engineering with 12+ years of experience in FPGA implementation. - Hands-on experience with Verilog/System Verilog and expertise in FPGA logic synthesis design partitioning, routing for FPGA fit. - Experience in handling RTL to bitstream design cycle on million+ gate FPGAs. - Experience in understanding timing reports and timing closure of FPGA Design. - Experience with modern ASIC/FPGA design and verification tools. - Experience with scripting language like Tcl, Python. - Experience with Intel Altera or Xilinx FPGAs. - Expertise in handling debugging tools such as ChipScope or custom debug tools. - Strong communication and problem-solving skills. - Must be a self-starter, and able to independently drive tasks to completion. Preferred Experience: - Expertise in configuring and bringing up HardIP Embedded ARM Cores be an added plus. - FPGA Design Experience with one or more High-Speed IO Protocols (PCIe, Ethernet, CXL etc.). - Configuring and bring-up of softcore processor Microblaze (Xilinx) or Nios (Altera). If you are passionate about FPGA prototyping and have a strong background in FPGA implementation, this role at a cutting-edge company developing Agentic Silicon for AI could be the perfect opportunity for you. Apply now and be a part of our dynamic team shaping the future of technology.,
ACTIVELY HIRING
posted 3 weeks ago
experience4 to 12 Yrs
location
All India
skills
  • RTL coding
  • FPGA design
  • Synplify
  • ISE
  • Chipscope
  • Scripting languages
  • Perl
  • Python
  • VerilogSV
  • Vivado
  • Lab equipment
  • Interface protocols
  • BluetoothBLE Technologies
Job Description
Role Overview: You would be part of a team specializing in designing IP and wireless sub-systems for cutting-edge Wireless PAN technologies such as Bluetooth, UWB, and Thread for various products like mobile phones, wearables, IoT devices, and Voice & Music chips. Your role involves collaborating with IP designers, Verification engineers, Validation engineers, Firmware engineers, and SoC engineers to validate next-generation Bluetooth and other PAN IPs on Qualcomm's proprietary and industry standard emulation platforms. Key Responsibilities: - Implement complex designs on FPGA with 8-12 years of hands-on experience - Expertise in RTL coding using Verilog/SV and all aspects of FPGA design - Define constraints, perform synthesis, floor planning, P&R, timing closure, and bitfile generation - Proficient with FPGA EDA tools like Synplify, Vivado, ISE, and Chipscope - Knowledge of latest FPGA architectures and partitioning designs across multiple FPGAs - Experience with scripting languages and familiarity with lab equipment - Strong debugging skills and excellent analytical and communication skills Qualification Required: - Bachelor's or Master's Degree in Engineering in Electronics, VLSI, Communications, or related field - Minimum 8 years of industry experience in Digital Design - Exposure to Bluetooth/BLE Technologies and scripting languages like Perl and/or Python would be an advantage Additional Company Details: Qualcomm is an equal opportunity employer committed to providing accessible processes for individuals with disabilities. If you require accommodations during the application/hiring process, you can reach out to disability-accommodations@qualcomm.com for support. The company expects its employees to adhere to all applicable policies and procedures, including those related to the protection of confidential information. Staffing and recruiting agencies are advised not to submit unsolicited profiles, applications, or resumes through Qualcomm's Careers Site. For more information about this role, you can contact Qualcomm Careers directly. Role Overview: You would be part of a team specializing in designing IP and wireless sub-systems for cutting-edge Wireless PAN technologies such as Bluetooth, UWB, and Thread for various products like mobile phones, wearables, IoT devices, and Voice & Music chips. Your role involves collaborating with IP designers, Verification engineers, Validation engineers, Firmware engineers, and SoC engineers to validate next-generation Bluetooth and other PAN IPs on Qualcomm's proprietary and industry standard emulation platforms. Key Responsibilities: - Implement complex designs on FPGA with 8-12 years of hands-on experience - Expertise in RTL coding using Verilog/SV and all aspects of FPGA design - Define constraints, perform synthesis, floor planning, P&R, timing closure, and bitfile generation - Proficient with FPGA EDA tools like Synplify, Vivado, ISE, and Chipscope - Knowledge of latest FPGA architectures and partitioning designs across multiple FPGAs - Experience with scripting languages and familiarity with lab equipment - Strong debugging skills and excellent analytical and communication skills Qualification Required: - Bachelor's or Master's Degree in Engineering in Electronics, VLSI, Communications, or related field - Minimum 8 years of industry experience in Digital Design - Exposure to Bluetooth/BLE Technologies and scripting languages like Perl and/or Python would be an advantage Additional Company Details: Qualcomm is an equal opportunity employer committed to providing accessible processes for individuals with disabilities. If you require accommodations during the application/hiring process, you can reach out to disability-accommodations@qualcomm.com for support. The company expects its employees to adhere to all applicable policies and procedures, including those related to the protection of confidential information. Staffing and recruiting agencies are advised not to submit unsolicited profiles, applications, or resumes through Qualcomm's Careers Site. For more information about this role, you can contact Qualcomm Careers directly.
ACTIVELY HIRING
posted 2 months ago

FPGA / Embedded Firmware Engineer

Varex Imaging Corporation
experience3 to 7 Yrs
location
Andhra Pradesh
skills
  • Verilog
  • SystemVerilog
  • VHDL
  • Python
  • Chipscope
  • Modelsim
  • FPGA development
  • Signaltap
  • Objectoriented Design
  • Analysis
  • Automated test benching
Job Description
Role Overview: As an FPGA / Embedded Firmware Engineer at Varex Imaging's Vizag, India facility, you will play a crucial role in developing firmware systems and libraries for processing and managing flat panel x-ray detectors data used in medical and industrial imaging systems. You will work both individually and collaboratively with a team of highly skilled FPGA engineers, writing HDL code, implementing efficient algorithms, and developing low-level component interfaces in FPGA and embedded software. Key Responsibilities: - Experience with Verilog, SystemVerilog and/or VHDL - Basic knowledge of FPGA development - Proficiency in simulation tools like Modelsim or equivalent - Familiarity with a scripting language such as Python - Some experience with debugging electrical hardware using Chipscope/Signaltap or oscilloscope/logic analyzer Qualifications Required: - B.S. in Electrical Engineering, Physics, Computer Science or related field with 5+ years of relevant experience, or M.S. or Ph.D. with 3+ years of relevant experience - Familiarity with Windows & Linux - Knowledge of Object-oriented Design and Analysis (OOA and OOD) a plus - Ability to develop specifications & requirements - Experience in designing, building, and unit testing firmware in a collaborative environment - Proficiency in utilizing automated test benching is a plus - Familiarity with FPGA intellectual property beneficial - Excellent oral and written communication skills - Ability to work with minimal supervision - Proficient in using business tools such as E-mail, Microsoft Word, Excel, and PowerPoint Please note that the additional details of the company provided in the job description have been omitted.,
ACTIVELY HIRING
posted 1 week ago

FPGA & BOARD DESIGN ENGINEER

Z-Crossing Solutions
experience2 to 6 Yrs
location
Kochi, Kerala
skills
  • Verilog
  • VHDL
  • System Verilog
  • ISE
  • Orcad
  • Altium
  • Perl
  • Python
  • PCIe
  • Ethernet
  • SPI
  • UART
  • Synopsys Synplify
  • Xilinx Vivado
  • DDR34
  • IIC
  • Altera FPGAs
  • Xilinx FPGAs
Job Description
As an FPGA & Board Design Engineer, you will be responsible for developing new hardware designs, which includes system design, CPLD/FPGA or processor design, and board-level analog/digital circuit design for embedded systems/boards. Your role involves developing detailed specifications based on requirements and implementing hardware designs in accordance with defined requirements and specifications. Additionally, you will be responsible for schematic design generation and entry, netlist generation, and close interaction with the CAD team for layout review and feedback. It will also be your responsibility to perform simulation activities including timing analysis, behavioral, and functional simulations. You will need to develop test benches and other test tools as needed to complete the verification of FPGA designs. Furthermore, you will be involved in carrying out proto H/W bring-up with support from firmware engineers. Key Responsibilities: - Implementation, synthesis, and timing closure of FPGA designs - Proficiency in Verilog, VHDL, and System Verilog - Proficiency in Synopsys Synplify, Xilinx Vivado, ISE - Hands-on experience with FPGA debug methodologies like ChipScope - Proficient in schematic capture tools such as Orcad/Altium - Hands-on experience with lab debug equipment like oscilloscopes and logic analyzers - Strong scripting skills in Perl/Python - Experience in test bench design and implementation - Knowledge of high-speed interfaces including PCIe, Ethernet, and DDR3/4 - Knowledge of low-speed interfaces including SPI, IIC, and UART - Knowledge and experience designing with Altera and Xilinx FPGAs Qualifications Required: - B.Tech. or M.Tech. in Electrical Engineering - 2+ years of FPGA experience - Detail-oriented with good interpersonal skills and excellent written and verbal communication skills Please note that this job requires a B.Tech. or M.Tech. in Electrical Engineering with at least 2 years of FPGA experience. You should be proficient in Verilog, VHDL, and System Verilog, and have hands-on experience with FPGA debug methodologies and lab debug equipment. Additionally, strong scripting skills in Perl/Python and knowledge of high-speed and low-speed interfaces are essential for this role. You should also possess good interpersonal skills and excellent written and verbal communication skills.,
ACTIVELY HIRING
posted 3 weeks ago
experience8 to 14 Yrs
location
All India
skills
  • VHDL
  • Verilog
  • System Verilog
  • Digital Signal Processing
  • Communication skills
  • Problem Solving
  • RTOS
  • Embedded software development
  • XilinxAMD FPGAs MPSoCs
  • Xilinx FPGA tools
  • RF projects
Job Description
As a senior FPGA RTL Designer at our start-up based in Bengaluru & Delhi NCR, you will play a crucial role in the development of cutting-edge drone and robotic platforms. Your primary responsibilities will include collaborating with key stakeholders to understand project requirements, creating project architecture and documentation, implementing RTL designs using VHDL/Verilog/System Verilog, conducting design simulation and synthesis, and ensuring project milestones are met through effective coordination with cross-functional teams. Your expertise in Xilinx/AMD FPGAs/MPSoCs, design entry languages, FPGA tools, digital signal processing, and communication skills will be essential for success in this role. **Key Responsibilities:** - Work with key stakeholders to understand project requirements and provide technical inputs - Create project architecture and documentation - Implement RTL designs using VHDL/Verilog/System Verilog - Conduct design simulation, synthesis, functional verification, timing analysis, and timing closure - Participate in project design reviews and coordinate with cross-functional teams - Update team members and stakeholders on project status in a timely manner **Qualifications Required:** - Experience in implementing complex designs in Xilinx/AMD FPGAs/MPSoCs - Proficiency in Design Entry languages like Verilog/VHDL/System Verilog - Working knowledge of Xilinx FPGA tools such as Vivado, iSIM, Chipscope, Vitis - Strong background in digital signal processing and experience working on RF projects - Good communication skills, problem-solving abilities, and analytical skills - Ability to work in a challenging environment and deliver high-quality results **Good to have skills:** - Knowledge of Xilinx SoC SDK, Petalinux, and experience with RTOS and embedded software development - Familiarity with Altera/Intel FPGA/SoC platforms and DO-254 compliance - Experience working on aerospace or UAV projects Join us if you have a B.E/M.E in Electronics/Communication/Computer Science and 8 to 14 years of experience in Engineering and R&D departments of relevant organizations. Your passion for engineering and innovative mindset will be valued as we strive to develop next-generation missions and technologies for the Indian defence forces.,
ACTIVELY HIRING
posted 3 weeks ago
experience12 to 16 Yrs
location
All India, Hyderabad
skills
  • Verilog
  • VHDL
  • RTL coding
  • FPGA
  • RISC
  • SPI
  • I2C
  • UART
  • USB
  • Debugging
  • ARM CortexM3
  • AMBA protocols
  • CC
  • Simulation ModelSim
  • Synthesis Synplicity
  • Security algorithms
Job Description
Role Overview: You will be joining Microchip Technology, Inc. as a Validation Engineer working with SOC/FPGA products. Your main responsibility will be to contribute towards the validation of Programming, Security, and Debug features of the FPGA. This role will require deep analytical reasoning to understand, analyze, and identify root causes of system-level failures during validation. You will work on creating system and FPGA designs to exercise all use models targeted for each product, mimicking end applications in a customer setting. Key Responsibilities: - Write system and product level validation plans for new and existing silicon products and projects, execute per plan, record, and communicate results - Conduct FPGA prototyping and emulation, including understanding specifications, writing emulation plans, and executing per plan, while recording and communicating results - Understand hardware architectures, use models, and system-level design implementations required to utilize silicon features - Be an effective contributor in a cross-functional team-oriented environment - Write high-quality code in Verilog, VHDL, and C code for embedded processors, as well as maintain existing code - Learn new system designs and validation methodologies, as well as understand FPGA architecture - Utilize on-chip debug tools effectively Qualifications Required: - Excellent verbal and written communication skills in English - Design with RTL coding in Verilog and VHDL and Verification of RTL - Possess an in-depth understanding of hardware architectures, system-level IC design implementation, and knowledge of how to create end-use scenarios - Experience using Simulation (ModelSim) and Synthesis (Synplicity) tools - Basic knowledge of embedded processors such as ARM Cortex-M3 or RISC and familiarity with AMBA protocols APB, AHB, AXI, ACE - Working knowledge of embedded software C/C++ is a plus - Strong technical background in FPGA prototype emulation and debug, as well as in silicon validation, failure analysis, and debug - Excellent board-level debug capabilities in a lab environment, including hands-on troubleshooting skills for digital logic and analog circuit on PCBs using oscilloscopes, digital analyzers, protocol exercisers and analyzers, integrated logic analyzers (e.g. Synopsys Identify, Xilinx Chipscope, Altera Signalscope, Lattice Reveal) - Expertise in validation of NIST-compliant security algorithms, protection, authentication on post Si - Good knowledge of embedded processors and peripherals such as SPI, I2C, UART, and USB - Strong commitment to quality and customer satisfaction - Experience managing the work assignments for a group of junior and senior engineers - A minimum of 12+ years of relevant work experience Company Details: Microchip Technology, Inc. offers a unique opportunity to be part of a team that works on the technology powering the world around us. The company's culture supports employee growth and stability, providing an atmosphere of trust, empowerment, respect, diversity, and communication. With over 30 years of quarterly profitability, Microchip Technology is dedicated to empowering innovation and has won numerous awards for diversity and workplace excellence. Visit the company's careers page to explore exciting opportunities and perks awaiting you. Role Overview: You will be joining Microchip Technology, Inc. as a Validation Engineer working with SOC/FPGA products. Your main responsibility will be to contribute towards the validation of Programming, Security, and Debug features of the FPGA. This role will require deep analytical reasoning to understand, analyze, and identify root causes of system-level failures during validation. You will work on creating system and FPGA designs to exercise all use models targeted for each product, mimicking end applications in a customer setting. Key Responsibilities: - Write system and product level validation plans for new and existing silicon products and projects, execute per plan, record, and communicate results - Conduct FPGA prototyping and emulation, including understanding specifications, writing emulation plans, and executing per plan, while recording and communicating results - Understand hardware architectures, use models, and system-level design implementations required to utilize silicon features - Be an effective contributor in a cross-functional team-oriented environment - Write high-quality code in Verilog, VHDL, and C code for embedded processors, as well as maintain existing code - Learn new system designs and validation methodologies, as well as understand FPGA architecture - Utilize on-chip debug tools effectively Qualifications Required: - Excellent verbal and written communication skills in English - Design with RTL coding in Verilog and VHDL and Verification of RTL - Possess an in-depth understanding of hardware architectures, system-level IC design implementation, and knowledge of how to create end-use scenarios - Experience
ACTIVELY HIRING
posted 1 week ago

Senior FPGA Engineer

A&W Engineering Works
experience5 to 9 Yrs
location
Gujarat, Vadodara
skills
  • digital design
  • RTL design
  • Verilog
  • SystemVerilog
  • FPGA design
  • synthesis
  • debugging
  • static timing analysis
  • TCL scripting
  • Python
  • transceivers
  • PHY
  • Digital Signal Processing
  • Matlab
  • embedded systems
  • IP creation
  • CC
Job Description
As an experienced FPGA design engineer with 5+ years of experience, you will be responsible for implementing control logic state machines and DSP algorithms in FPGA fabric for high throughput systems. Your role will require excellent troubleshooting and debug skills for both simulation and in-circuit scenarios. Your expertise should include: - Excellent knowledge of digital design with multiple clock domains - RTL design proficiency in Verilog and System-Verilog - Creating micro-architecture from high-level specifications - Functional simulation using ModelSIM or similar tools - FPGA design and synthesis techniques including map and route flow, pin assignments, attribute assignments, resource fixing, and design partitioning - Targeting designs for Intel(Altera) or Xilinx FPGAs using Quartus Prime or Vivado - IP creation and parametrization with Vivado or Quartus - Debugging using ChipScope/SignalTap and lab bench oscilloscopes/protocol analyzers - Knowledge of static timing analysis and timing closure using SDC - Collaborating with cross-functional global teams of hardware designers, software engineers, and verification and validation engineers - Leading teams to successful project completion within deadlines - Excellent problem-solving skills It would be beneficial if you also have expertise in: - TCL scripting and Python - Transceivers and PHY - Power estimation and resource utilization estimation - Soft-processor cores like Microblaze or Nios-II - Understanding of Digital Signal Processing concepts - Proficiency in Matlab or Python for algorithm design - Knowledge of embedded systems and C/C++ About A&W Engineering Works: A&W Engineering Works is dedicated to developing and deploying innovative solutions to real-world problems. The company specializes in developing complete systems from front-end sensors to back-end applications, covering analog, digital signal processing, and algorithmic data and control paths. The team at A&W Engineering Works comprises experts in hardware, software, mechanical, and system development, enabling them to address challenging problems with unique and innovative development techniques for quick prototyping and efficient production. To apply for this position, please email your resume and cover letter to [email protected] with the job title in the subject line.,
ACTIVELY HIRING
posted 1 day ago
experience5 to 9 Yrs
location
Gujarat, Ahmedabad
skills
  • VHDL
  • RTL design
  • FPGA design
  • Lattice
  • Modelsim
  • Questasim
  • UART
  • I2C
  • SPI
  • AMBA
  • AXI
  • Verilog Programming
  • FPGA design tools
  • Xilinx
  • Intel
  • Microchip FPGA families
Job Description
As a Senior Engineer / Technical Lead (FPGA) at our company, your role will involve: - Using hardware such as oscillator and logic analyzers for hardware debugging - Demonstrating a good understanding of digital electronics and design practices - Exhibiting a strong sense of ownership, passion, fast learning ability, analytical mindset, and pursuit of perfection - Showcasing excellent interpersonal, communication, collaboration, and presentation skills We are looking for candidates with the following qualifications: - Strong VHDL/Verilog Programming skills - In-depth knowledge of RTL design, FPGA design, and FPGA design tools - Proficiency in the complete FPGA development flow from logic design, place & route, timing analysis closure, simulation, verification, and validation - Experience with Xilinx/Intel/Lattice/Microchip FPGA families and corresponding development tools - Familiarity with verification/simulation tools such as Modelsim/Questa-sim - Strong troubleshooting and debugging skills for FPGA implementations on hardware boards - Experience in debugging HW/SW issues and utilization of equipment/tools like oscilloscope, logic analyzer, Chipscope/ILA/Signal Tap - Ability to comprehend synthesis reports, conduct timing analysis, and write FPGA design constraints - Hands-on experience with communication protocols (UART/I2C/SPI, etc.) and bus interfaces (AMBA/AXI, etc.) In addition to the technical aspects of the role, the job is based in Pune and Ahmedabad, specifically in IN-GJ-Ahmedabad, India-Ognaj (eInfochips). This is a full-time position in the Engineering Services category.,
ACTIVELY HIRING
logo

@ 2025 Shine.com | All Right Reserved

Connect with us:
  • LinkedIn
  • Instagram
  • Facebook
  • YouTube
  • Twitter