default search action
Hassan Mostafa
Person information
- affiliation: Cairo University, Faculty of Engineering, Egypt
- affiliation: Zewail City of Science and Technology, Nanotechnology Program, Cairo, Egypt
- affiliation (PhD 2011): University of Waterloo, ON, Canada
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [j51]Yasser Nabil, Hesham ElSawy, Suhail Al-Dharrab, Hassan Mostafa, Hussein Attia:
Beamwidth Design Tradeoffs in Radar-Aided Millimeter-Wave Cellular Networks: A Stochastic Geometry Approach. IEEE Access 12: 26196-26211 (2024) - [c191]Ali H. Hassan, Hassan Mostafa, Chih-Kong Ken Yang:
Invited Paper: A Pseudo-Differential Architecture for Low-Power Voltage-to-Time Converters. MWSCAS 2024: 437-441 - [c190]Yasmeen Tantawy Abd-Elwahed, Sameh Ahmed Assem, Ahmed Hussein, Hassan Mostafa:
A Low-Power 64Gb/s PAM4 Transmitter in 65nm CMOS. NILES 2024: 166-169 - 2023
- [j50]Mohamed Abdelwahab, Hassan Mostafa, Ahmed K. F. Khattab:
A low footprint olive grove weather forecasting using a single-layered seasonal attention encoder-decoder model. Ecol. Informatics 75: 102113 (2023) - [j49]Yasser Nabil, Hesham ElSawy, Suhail Al-Dharrab, Hussein Attia, Hassan Mostafa:
Ultra-Reliable Device-Centric Uplink Communications in Airborne Networks: A Spatiotemporal Analysis. IEEE Trans. Veh. Technol. 72(7): 9484-9499 (2023) - [c189]Yasser Nabil, Hesham ElSawy, Suhail Al-Dharrab, Hussein Attia, Hassan Mostafa:
A Stochastic Geometry Analysis for Joint Radar Communication System in Millimeter-wave Band. ICC 2023: 5849-5854 - [c188]Asmaa Mosbeh, Ali A. Y. Ibraheem, Hassan Mostafa, Khalil Yousef:
Low Power Microarchitecture Designs of ACS Block in Viterbi Decoder: A Review. ICICM 2023: 16-20 - [c187]AbdelRahman Saeed, Ayman Tawfik, Hassan Mostafa, Ahmed H. Khalil:
SoC-Oriented Implementation of Machine Learning Based Breast Cancer Classification Algorithm. MECO 2023: 1-5 - [c186]Eslam Abdel Bary, Mohamed A. Sharaf, Ahmed Hussein, Amin M. Nassar, Hassan Mostafa:
Efficient ASIC Implementation for Satellite-IoT Security Co-processor. MOCAST 2023: 1-4 - [c185]Ahmed N. El-Zeiny, Adham Hassan, Hassan Mostafa, Ahmed H. Khalil:
Mini-YOLOX: A Lightweight Network for Real-Time Embedded Applications. MWSCAS 2023: 1-5 - [c184]Youssef Matloob, Abdallah WallyAllah, M. Abdel-Halim, M. Sabry, Samah El-Tantawy, Hassan Mostafa:
TimeFusionNet for End-to-End Self-Driving Cars. NILES 2023: 42-47 - [c183]Yasser Nabil, Hesham ElSawy, Suhail Al-Dharrab, Hussein Attia, Hassan Mostafa, Ahmed H. Khalil, Ibrahim Qamar:
Mobile Aerial Base Stations for Ultra-Reliable and Energy-Efficient Downlink Communications. SM 2023: 85-90 - [i2]Yasser Nabil, Hesham ElSawy, Suhail Al-Dharrab, Hussein Attia, Hassan Mostafa:
Ultra-Reliable Device-Centric Uplink Communications in Airborne Networks: A Spatiotemporal Analysis. CoRR abs/2302.13285 (2023) - 2022
- [j48]Eman Youssef, Hamed Elsimary, Magdy A. El-Moursy, Hassan Mostafa, Ahmed K. F. Khattab:
Energy-Efficient Precision-Scaled CNN Implementation With Dynamic Partial Reconfiguration. IEEE Access 10: 95571-95584 (2022) - [j47]Yasser Nabil, Hesham ElSawy, Suhail Al-Dharrab, Hassan Mostafa, Hussein Attia:
Data Aggregation in Regular Large-Scale IoT Networks: Granularity, Reliability, and Delay Tradeoffs. IEEE Internet Things J. 9(18): 17767-17784 (2022) - [j46]Mai M. Goda, Ahmed H. Hassan, Hassan Mostafa, Ahmed M. Soliman:
A Novel Refreshment Circuit for 2T1M Neuromorphic Synapse. J. Circuits Syst. Comput. 31(3): 2250047:1-2250047:19 (2022) - [c182]Kholoud Mahmoud, Randa Ahmed, Karim Ayman, Mostafa Aymau, Waleed Taie, Yasser Ibrahim, Hassan Mostafa, Khaled Salah:
Towards a Generic UVM. HPEC 2022: 1-6 - [c181]Mohamed N. Khalifa, Rania O. Hassan, Omar A. Nasr, Hassan Mostafa:
Efficient Hardware/Software Implementation for GoogLeNet Using Xilinx SDSoC. NILES 2022: 317-320 - [c180]Alaa Elshazly, Mario Mounir, Muhammad K. Khalaf, Fayçal Saffih, Yasmine Elogail, Hassan Mostafa:
Design and Simulation of a Novel Low-Voltage RF MEMS Switch for Reconfigurable Antennas. SYSINT 2022: 708-713 - [c179]Heba Allah Gamal, Hassan Mostafa, Ayman Haggag:
2T1M Neuromorphic Synapse with Pt-Hf-Ti Memristor Model. SYSINT 2022: 714-726 - 2021
- [j45]Abdulwahid Mohammed, Tawfik Ismail, Amin M. Nassar, Hassan Mostafa:
A Novel Companding Technique to Reduce High Peak to Average Power Ratio in OFDM Systems. IEEE Access 9: 35217-35228 (2021) - [j44]Heba Elhosary, Michael H. Zakhari, Mohamed A. Elgammal, Khaled A. Helal Kelany, Mohamed A. Abd El Ghany, Khaled N. Salama, Hassan Mostafa:
Hardware Acceleration of High Sensitivity Power-Aware Epileptic Seizure Detection System Using Dynamic Partial Reconfiguration. IEEE Access 9: 75071-75081 (2021) - [j43]Ahmed J. Abd El-Maksoud, Mohamed Ebbed, Ahmed H. Khalil, Hassan Mostafa:
Power Efficient Design of High-Performance Convolutional Neural Networks Hardware Accelerator on FPGA: A Case Study With GoogLeNet. IEEE Access 9: 151897-151911 (2021) - [j42]Ali H. Hassan, Hassan Mostafa, Mohamed Refky, Khaled N. Salama, Ahmed M. Soliman:
A 0.002-mm2 8-bit 1-MS/s low-power time-based DAC (T-DAC). IET Circuits Devices Syst. 15(8): 738-744 (2021) - [j41]Islam Ahmed, Ahmed Nader Mohieldin, Hassan Mostafa:
Functional Verification of Dynamic Partial Reconfiguration for Software-Defined Radio. J. Circuits Syst. Comput. 30(3): 2150042:1-2150042:20 (2021) - [j40]Ramy Ahmed, Hassan Mostafa, Ahmed H. Khalil:
Design of a reconfigurable network-on-chip for next generation FPGAs using Dynamic Partial Reconfiguration. Microelectron. J. 108: 104964 (2021) - [c178]Muhammad Sarg, Ahmed H. Khalil, Hassan Mostafa:
Efficient HLS Implementation for Convolutional Neural Networks Accelerator on an SoC. ICM 2021: 1-4 - [c177]Adham Osama, Ahmed Mostafa, Eslam Mamdouh, Mohamed Gamal, Usama Imam, Mohamed Taha, Ahmed H. Khalil, Islam Ahmed, Hassan Mostafa:
Fast RTL Implementation of A* Path Planning Algorithm. ICM 2021: 5-8 - [c176]Mohamed H. Abdulmonem, Ahmed K. Ismail, Hassan Mostafa:
Design and Implementation of Authenticated Encryption Co-Processors for Satellite Hardware Security. ICM 2021: 40-44 - [c175]Ahmed J. Abd El-Maksoud, Amr Gamal, Aya Hesham, Gamal Saied, Mennat-Allah Ayman, Omnia Essam, Sara M. Mohamed, Eman El Mandouh, Ziad Ibrahim, Sara Mohamed, Hassan Mostafa:
Hardware-Accelerated ZYNQ-NET Convolutional Neural Networks on Virtex-7 FPGA. ICM 2021: 70-73 - [c174]Mohamed Fawzy, Hassan Mostafa:
High Accuracy Epileptic Seizure Detection System Based on Wearable Devices Using Support Vector Machine Classifier. ICM 2021: 124-127 - [c173]Abdelrahman Adel, Dina Saad, Mahmoud Abd El Mawgoed, Mohamed Sharshar, Zyad Ahmed, Hala Ibrahim, Hassan Mostafa:
Implementation and Functional Verification of RISC-V Core for Secure IoT Applications. ICM 2021: 254-257 - [c172]Mohamed Abdelwahab, Hassan Mostafa, Ahmed K. F. Khattab:
A Lightweight Deep Learning Framework for Long-Term Weather Forecasting in Olive Precision Agriculture. ICM 2021: 266-269 - [c171]Abdelrahman Elabany, Amin M. Nassar, Hassan Mostafa:
Design Optimization of Multi-Input Reconfigurable Capacitive DC-DC Converters: A CAD Tool Approach. ISCAS 2021: 1-5 - [c170]Abdelrahman Emad, H. Mohamed, Abdulrahman Farid, Mohamed Hassan, Rawan Sayed, Hassan Aboushady, Hassan Mostafa:
Deep Learning Modulation Recognition for RF Spectrum Monitoring. ISCAS 2021: 1-5 - [c169]Ahmed Magdy, Sameh Ibrahim, Ahmed H. Khalil, Hassan Mostafa:
Low Power, Dual Mode Bluetooth 5.1/Bluetooth Low Energy Receiver Design. ISCAS 2021: 1-5 - [c168]Ahmed J. Abd El-Maksoud, Abdallah Mohamed, Ahmed Tarek, Amr Adel, Amr Eid, Farida Khaled, Fatma Khaled, Ziad Ibrahim, Eman El Mandouh, Hassan Mostafa:
FPGA Design of High-Speed Convolutional Neural Network Hardware Accelerator. NILES 2021: 376-379 - [c167]Mahmoud Elsayed, Nourhan Hassan, Marina Maher, Nouran Waleed, Rehab Reda, Haitham Sharaf Eldin, Hassan Mostafa:
Guava Trees Disease Monitoring Using the Integration of Machine Learning and Predictive Analytics. NILES 2021: 380-384 - [c166]Abdelrahman Sobeih Hussein, Hassan Mostafa:
ASIC-FPGA Gap for a RISC-V Core Implementation for DNN Applications. NILES 2021: 385-388 - [c165]Abdelrahman M. Sawaby, Abdelrahman M. Elshorbge, Omar T. Abdelhalim, Mahmoud A. Farghaly, Mahmoud Sherif Taha, Yehia Hamdy Yehia, Salma El-Sawy, Mohamed Samir Fouad, Hassan Mostafa:
A 10 Gb/s SerDes Transceiver. NILES 2021: 389-393 - [i1]Yasser Nabil, Hesham ElSawy, Suhail Al-Dharrab, Hassan Mostafa, Hussein Attia:
Data Aggregation in Synchronous Large-scale IoT Networks: Granularity, Reliability, and Delay Tradeoffs. CoRR abs/2109.03563 (2021) - 2020
- [j39]Mohamed Eladawy, Mahmoud Mostafa, M. Sameh Said, Hassan Mostafa:
Automated performance-based design technique for an efficient LTE PDSCH implementation using SDSoC tool. Int. J. Commun. Syst. 33(4) (2020) - [j38]Basma H. Mohamed, Ahmed Taha, Ahmed Shawky, Essraa Ahmed, Ali Wagdy Mohamed, Manar Mohsen, Rodina Samy, Amr ELHosiny, Ahmed Ibrahim, Hassan Mostafa:
Design of the Baseband Physical Layer of NarrowBand IoT LTE Uplink Digital Transmitter. J. Circuits Syst. Comput. 29(7): 2050111:1-2050111:16 (2020) - [j37]Nermeen A. Eltresy, Osama M. Dardeer, Awab M. W. Al-Habal, Esraa Elhariri, Abdelrhman M. Abotaleb, Dalia N. Elsheakh, Ahmed K. F. Khattab, Shereen A. Taie, Hassan Mostafa, Hala Elsadek, Esmat A. F. Abdallah:
Smart Home IoT System by Using RF Energy Harvesting. J. Sensors 2020: 8828479:1-8828479:14 (2020) - [j36]Ahmad Alsayyid Daoud, Ahmed A. S. Dessouki, Hassan Mostafa, Rania Mohamad Abdallah, El-Sayed M. El-Rabaie:
A high precision write/read circuits for memristors using digital input/output interfaces. Microelectron. J. 96: 104694 (2020) - [j35]Alaa Hassan, Aya El-Shaboury, Karim Mohamed, Mohamed Askar, Osama Mowafy, Yasmine Mosaad, Ali H. Hassan, Hassan Mostafa:
A high-efficiency piezoelectric-based integrated power supply for low-power platforms. Microelectron. J. 102: 104824 (2020) - [c164]Mohamed H. Abdulmonem, Jihad EssamEddeen, Michael H. Zakhari, Sayed Hanafi, Hassan Mostafa:
Hardware Acceleration of Dash Mining Using Dynamic Partial Reconfiguration on the ZYNQ Board. ICM 2020: 1-4 - [c163]H. H. Draz, Eslam Elmitwalli, Mirna Soliman, S. R. I. Gabran, Mohamed Basha, Hassan Mostafa, Mohamed Fathy Abu-ElYazeed, Amal Zaki:
Mechanical Analysis of Human DBS Electrodes. ICM 2020: 1-6 - [c162]Abdalla Gabara, Retaj Yousri, Darine Hamdy, Michael H. Zakhari, Hassan Mostafa:
Patient Specific Epileptic Seizures Prediction based on Support Vector Machine. ICM 2020: 1-4 - [c161]Nourhan Ashraf, Yasmin Mesbah, Aya Emad, Hassan Mostafa:
Enabling the 5G: Modelling and Design of High Q Film Bulk Acoustic Wave Resonator (FBAR) for High Frequency Applications. ISCAS 2020: 1-4 - [c160]Ali H. Hassan, Zyad E. Mohamed, Ahmed E. Fahmy, Hassan Mostafa, Ahmed M. Soliman:
Design Trade-Offs for Neural Stimulators Optimization. ISCAS 2020: 1-5 - [c159]Sherif Hosny, Eslam Elnader, Mostafa Gamal, Abdelrhman Hussien, Hassan Mostafa:
Multi-Partitioned Software Defined Radio Transceiver Based on Dynamic Partial Reconfiguration. ISCAS 2020: 1-4 - [c158]Abdelrahman M. Ruby, Shady M. Soliman, Hassan Mostafa:
Dynamically Reconfigurable Resource Efficient AES Implementation for IoT Applications. ISCAS 2020: 1-5 - [c157]Ahmed Kamal, Mahmoud Ali, Mohamed Faris, Omar Monzer, Hassan Mostafa:
Design and Analysis of Multi-Port SAW MEMS Resonators. MOCAST 2020: 1-4 - [c156]Karim O. Ragab, Hassan Mostafa, Ahmed Eladawy:
Digital calibration for SAR-CD TDC. MOCAST 2020: 1-4 - [c155]Eman Youssef, Hamed A. Elsemary, Magdy A. El-Moursy, Ahmed K. F. Khattab, Hassan Mostafa:
Energy Adaptive Convolution Neural Network Using Dynamic Partial Reconfiguration. MWSCAS 2020: 325-328 - [c154]Islam Mohamed Shaher, Moustafa Mahmoud, Hassan Ibrahim, Moustafa Ali, Hassan Mostafa:
Implementation of a Hardware Accelerator for a Real-time Encryption System. MWSCAS 2020: 627-630 - [c153]Mohamed A. Sharaf, Eslam AbdelBary, Hassan Mostafa, Ahmed Hussein, Amin M. Nassar:
Efficient ASIC Implementation of a NB-IoT Security Co-processor. MWSCAS 2020: 695-698 - [c152]Ahmad El-Shiekh, Ahmad El-Alfy, Ahmad Ammar, Mohamed Gamal, Mohamed Dessouky, Khaled Salah, Hassan Mostafa:
IPXACT-Based RTL Generation Tool. NILES 2020: 71-74 - [c151]Ali H. Gad, Seif Eldeen E. Abdalazeem, Omar A. Abdelmegid, Hassan Mostafa:
Low power and area SHA-256 hardware accelerator on Virtex-7 FPGA. NILES 2020: 181-185 - [c150]AbdelRahman Hesham, Amin M. Nassar, Hassan Mostafa:
Energy-Efficient Near-Threshold Standard Cell Library for IoT Applications. NILES 2020: 567-572 - [c149]Yasmin K. Abdelmagid, Renad T. Nawar, Mennatullah K. Rabie, Ahmed S. Tulan, Ahmed H. Hassan, Andoleet Saleh, Hassan Mostafa:
Investigation of DW Spintronic Memristor performance in 2T1M Neuromorphic Synapse. NILES 2020: 573-577 - [c148]Alaa M. Salman, Ahmed S. Tulan, Rana Y. Mohamed, Michael H. Zakhari, Hassan Mostafa:
Comparative Study of Hardware Accelerated Convolution Neural Network on PYNQ Board. NILES 2020: 578-582
2010 – 2019
- 2019
- [j34]Sherif F. Nafea, Ahmed A. S. Dessouki, S. El-Rabaie, Basem E. Elnaghi, Yehea Ismail, Hassan Mostafa:
An accurate model of domain-wall-based spintronic memristor. Integr. 65: 149-162 (2019) - [j33]Shady M. Soliman, Mohammed A. Jaela, Abdelrhman M. Abotaleb, Youssef Hassan, Mohamed Abdelghany, Amr Talaat Abdel-Hamid, Khaled N. Salama, Hassan Mostafa:
FPGA implementation of dynamically reconfigurable IoT security module using algorithm hopping. Integr. 68: 108-121 (2019) - [j32]Nagham Samir, Abdelrahman Sobeih Hussein, Mohaned Khaled, Ahmed N. El-Zeiny, Mahetab Osama, Heba Yassin, Ali Abdelbaky, Omar Mahmoud, Ahmed Shawky, Hassan Mostafa:
ASIC and FPGA Comparative Study for IoT Lightweight Hardware Security Algorithms. J. Circuits Syst. Comput. 28(12): 1930009:1-1930009:25 (2019) - [j31]Endy Onsy, Reem Abd El-Sttar, George S. Maximous, Ahmed Zaky, Hassan Mostafa:
Complete Study for Diagonal Triboelectric Nanogenerators Based Energy Harvester with Computer Aided Design Tool. J. Low Power Electron. 15(1): 51-63 (2019) - [j30]Amr Abbas, Hassan Mostafa, Ahmed Nader Mohieldin:
Low Area and Low Power Implementation for Competition for Authenticated Encryption, Security, Applicability, and Robustness Authenticated Ciphers. J. Low Power Electron. 15(1): 104-114 (2019) - [j29]Alaa Salaheldin, Hassan Mostafa, Ahmed M. Soliman:
Design exploration for network on chip based FPGAs: 2D and 3D tiles to router interface. Microelectron. J. 88: 47-55 (2019) - [j28]Mohammed Ashraf, Hassan Mostafa, Ahmed Eladawy, Yehea Ismail:
Power adaptive high-resolution neural data compression algorithm (PANDCA). Microelectron. J. 88: 154-163 (2019) - [j27]Nermeen A. Eltresy, Osama M. Dardeer, Awab M. W. Al-Habal, Esraa Elhariri, Ali H. Hassan, Ahmed K. F. Khattab, Dalia N. Elsheakh, Shereen A. Taie, Hassan Mostafa, Hala Elsadek, Esmat A. F. Abdallah:
RF Energy Harvesting IoT System for Museum Ambience Control with Deep Learning. Sensors 19(20): 4465 (2019) - [j26]Mohamed Shehata, Mohamed Sameh Said, Hassan Mostafa:
A Generalized Framework for the Performance Evaluation of Microwave Photonic Assisted IR-UWB Waveform Generators. IEEE Syst. J. 13(4): 3724-3734 (2019) - [j25]Heba Elhosary, Michael H. Zakhari, Mohamed A. Elgammal, Mohamed A. Abd El Ghany, Khaled N. Salama, Hassan Mostafa:
Low-Power Hardware Implementation of a Support Vector Machine Training and Classification for Neural Seizure Detection. IEEE Trans. Biomed. Circuits Syst. 13(6): 1324-1337 (2019) - [c147]Sara Taha, Hassan Mostafa:
Accelerated Software Implementation of Authenticated Encryption Stream Ciphers for High Speed Applications. ICM 2019: 27-31 - [c146]Mohammed Abdou, Rawan Mohammed, Zeinab Hosny, Mayada Essam, Mayada Zaki, Maha Hassan, Mohammed Eid, Hassan Mostafa:
End-to-End Crash Avoidance Deep IoT-based Solution. ICM 2019: 103-107 - [c145]Sherry Hareth, Hassan Mostafa, Khaled Ali Shehata:
Low power CNN hardware FPGA implementation. ICM 2019: 162-165 - [c144]Ingy Alaa, Nourhan Ahmed, Mostafa Nader, Abdelrahman Magdy, Mohamed Ibrahim, Michael Khalil, Michael H. Zakhari, Hassan Mostafa:
Seizure Prediction & Segmentation Merge Yielding a Boosted Low Power Model. ICM 2019: 178-181 - [c143]Fady Atef, Marina Hamdy Zaky, Nada Khaled Ahmed, Mario Adel Messiha, Omar Tarek Abdelwahab, Abanoub Atef Farid, Omar Mohamed Selim, Hassan Mostafa:
Automated Current Mirror Layout (ACML) Tool. ICM 2019: 182-185 - [c142]Alaa Hussien, Samar Mohamed, Mohamed Soliman, Hager Mostafa, Khaled Salah, Mohamed Dessouky, Hassan Mostafa:
Development of a Generic and a Reconfigurable UVM-Based Verification Environment for SoC Buses. ICM 2019: 195-198 - [c141]Mohamed Ibrahim Selmy, Hassan Mostafa, Ahmed A. S. Dessouki:
Hardware Implementation of a Low Power Memristor-Based Voltage Controlled Oscillator. ICM 2019: 258-261 - [c140]Mahmoud Ali, Seif Mohamed, Mohamed Elshafey, Hassan Mostafa:
Comparative Study for Some Memristor models in Different Circuit Applications. ICM 2019: 262-266 - [c139]Aya Ghobashy, Aya Ashraf, Ali H. Hassan, Hassan Mostafa, Ahmed M. Soliman:
Design Optimization Methodology for High-Efficiency RF-to-DC Converters. ICM 2019: 320-324 - [c138]Nagham Samir, Youssef Gamal, Ahmed N. El-Zeiny, Omar Mahmoud, Ahmed Shawky, AbdelRahman Saeed, Hassan Mostafa:
Energy-Adaptive Lightweight Hardware Security Module using Partial Dynamic Reconfiguration for Energy Limited Internet of Things Applications. ISCAS 2019: 1-4 - [c137]Mohamed Adel, Ahmed Kotb, Omar Farag, M. Saeed Darweesh, Hassan Mostafa:
Breast Cancer Diagnosis Using Image Processing and Machine Learning for Elastography Images. MOCAST 2019: 1-4 - [c136]Aya Alzahy, Mohamed A. Elgammal, Haitham Mohammed, Hassan Mostafa:
Optimal EEG Window Size for Neural Seizure Detection. MOCAST 2019: 1-4 - [c135]Alaa Adel Araby, Mai Mohamed Abd Elhameed, Nada Mohamed Magdy, Loa'a Ahmed Said, Nada Abdelaal, Yomna Tarek Abd Allah, M. Saeed Darweesh, Mohamed Ali Fahim, Hassan Mostafa:
Smart IoT Monitoring System for Agriculture with Predictive Analysis. MOCAST 2019: 1-4 - [c134]Ahmed El-Gohary, Mohamed Saad, Omar Mahmoud, Mohamed Thabet, Sayed Shaban, Mohannad Khaled, Hassan Mostafa:
Low utilization FPGA implementation of OFDM transceiver based on IEEE 802.11n standard. MOCAST 2019: 1-4 - [c133]Yahia Elhazek, Ahmed Ibrahim, Mostafa Amer, Ahmed Abubakr, Hassan Mostafa:
Hardware Accelerated Epileptic Seizure Detection System Using Support Vector Machine. MOCAST 2019: 1-4 - [c132]Ahmed Hosny, Mohamed Yousef, Wessam Gamil, Mohamed Adel, Hassan Mostafa, M. Saeed Darweesh:
Demonstration of Forward Collision Avoidance Algorithm Based on V2V Communication. MOCAST 2019: 1-4 - [c131]Khadija Khaled, Chaymaa Osama, Mahetab Osama, Heba Magdy, Heba Mahmoud, Yara Hossam, Sherif Hosny, Hassan Mostafa:
Interfacing USRP Kit with Zynq-7000 Evaluation Kit. MOCAST 2019: 1-4 - [c130]Abdulwahid Mohammed, Mohamed Shehata, Amin M. Nassar, Hassan Mostafa:
Performance Comparison of Companding-Based PAPR Suppression Techniques in OFDM Systems. MOCAST 2019: 1-4 - [c129]Abdelrahman M. Sawaby, Hesham M. Noureldin, Mayada S. Mohamed, Maryam O. Omar, Nahed S. Shaaban, Noha N. Ahmed, Samar M. ElHadidy, Reham S. Hussein, Ali H. Hassan, Hassan Mostafa:
A Smart Indoor Navigation System Over BLE. MOCAST 2019: 1-4 - [c128]Mohamed Shehata, Mohamed Sameh Said, Hassan Mostafa:
A Multiple Input-Multiple Output Visible Light Communication System Design Based on Optical Orthogonal Codes. MOCAST 2019: 1-4 - [c127]Mohamed Shehata, Eslam A. Aly, Mostafa B. Alabd, Hassan Mostafa:
A Generalized Geo-Electro-Mechanical Model for Triboelectric NanoGenerators. MWSCAS 2019: 21-24 - [c126]Abd El-Rahman Mohsen, Mohamed Youssef GadAlrab, Zeina elhaya Mahmoud, Gameel Alshaer, Mahmoud Asy, Hassan Mostafa:
Remote FPGA Lab For ZYNQ and Virtex-7 Kits. MWSCAS 2019: 185-188 - [c125]Hanan Rady, Hagar Hossam, M. Sameh Saied, Hassan Mostafa:
Memristor-Based AES Key Generation for Low Power IoT Hardware Security Modules. MWSCAS 2019: 231-234 - [c124]Ali H. Hassan, Hassan Mostafa:
Nondestructive Reading and Refreshment Circuit for Memristor-based Neuromorphic Synapse. MWSCAS 2019: 642-645 - [c123]Mohamed A. Elgammal, Hassan Mostafa, Khaled N. Salama, Ahmed Nader Mohieldin:
A Comparison of Artificial Neural Network(ANN) and Support Vector Machine(SVM) Classifiers for Neural Seizure Detection. MWSCAS 2019: 646-649 - [c122]Ehab Belal, Hassan Mostafa, Amin M. Nassar:
Design of Microscale Piezoelectric Energy Harvesting System. MWSCAS 2019: 750-753 - [c121]Abdelrhman M. Abotaleb, Abdulkareem M. Abotaleb, Amr G. Wassal, Hassan Mostafa:
Complete Security Stack FPGA Implementation of The Software Defined Radio on ZYNQ. MWSCAS 2019: 864-867 - [c120]Bishoy Saleeb, Mohamed Shehata, Hassan Mostafa, Yassmine Fahmy:
Performance Evaluation of RZF Precoding in Multi-User MIMO Systems. MWSCAS 2019: 1207-1210 - 2018
- [j24]Sameh Attia, Hossam A. H. Fahmy, Yehea Ismail, Hassan Mostafa:
Optimizing FPGA-based hard networks-on-chip by minimizing and sharing resources. Integr. 63: 138-147 (2018) - [j23]Amr Hassan, Hassan Mostafa, Hossam A. H. Fahmy:
NoC-DPR: A new simulation tool exploiting the Dynamic Partial Reconfiguration (DPR) on Network-on-Chip (NoC) based FPGA. Integr. 63: 204-212 (2018) - [j22]Osama Abdelkader, Mohamed Mohie El-Din, Hassan Mostafa, Hamdy Abdelhamid, Hossam A. H. Fahmy, Yehea Ismail, Ahmed M. Soliman:
Technology Scaling Roadmap for FinFET-Based FPGA Clusters Under Process Variations. J. Circuits Syst. Comput. 27(4): 1850056:1-1850056:32 (2018) - [j21]Ali H. Hassan, Hassan Mostafa, Yehea Ismail, Ahmed M. Soliman:
A Low-Power High-Efficiency Inductive Link Power Supply for Neural Recording and Stimulation System-on-Chip. J. Low Power Electron. 14(1): 129-139 (2018) - [j20]Khaled A. Helal, Sameh Attia, Hossam A. H. Fahmy, Tawfik Ismail, Yehea Ismail, Hassan Mostafa:
Dual Split-Merge: A high throughput router architecture for FPGAs. Microelectron. J. 81: 51-57 (2018) - [j19]Amr Baher, Ahmed N. El-Zeiny, Ahmed Aly, Ahmed H. Khalil, Adham Hassan, AbdelRahman Saeed, Karim Abo El Makarem, Magdy A. El-Moursy, Hassan Mostafa:
Dynamic power estimation using Transaction Level Modeling. Microelectron. J. 81: 107-116 (2018) - [c119]M. Saeed Darweesh, Tawfik Ismail, Hassan Mostafa:
On RF Telemetry for Implantable Medical Devices: A Communication Theory Perspective. CSNDSP 2018: 1-6 - [c118]Ramy Ahmed, Hassan Mostafa, Ahmed H. Khalil:
Impact of dynamic partial reconfiguration on CONNECT Network-on-Chip for FPGAs. DTIS 2018: 1-5 - [c117]Islam Ahmed, Hassan Mostafa, Ahmed Nader Mohieldin:
Dynamic partial reconfiguration verification using assertion based verification. DTIS 2018: 1-2 - [c116]Mohamed Adel, Mohamed El-Naggar, M. Saeed Darweesh, Hassan Mostafa:
Multiple Hybrid Compression Techniques for Electroencephalography Data. ICM 2018: 124-127 - [c115]Esraa Adel, Rana Magdy, Sara Mohamed, Mona Mamdouh, Eman El Mandouh, Hassan Mostafa:
Accelerating Deep Neural Networks Using FPGA. ICM 2018: 176-179 - [c114]Mohamed Adel, M. Saeed Darweesh, Hassan Mostafa, Hanan Kamal, Mona El-Ghoneimy:
Optimization of Handover Problem Using Q-learning for LTE Network. ICM 2018: 188-191 - [c113]Essam R. Berikaa, Ahmed H. Khalil, Hagar Hossam, Mohamed El-Dessouky, Hassan Mostafa:
Multi-Bit RRAM Transient Modelling and Analysis. ICM 2018: 232-235 - [c112]I. Mohamed Elzayat, M. Ahmed Saad, M. Mohamed Mostafa, R. Mahmoud Hassan, Hossam Abd El Munim, Maged Ghoneima, M. Saeed Darweesh, Hassan Mostafa:
Real-Time Car Detection-Based Depth Estimation Using Mono Camera. ICM 2018: 248-251 - [c111]Abdel-Malik M. Sabreen, Adel A. Samir, Lojaine A. ElMahdy, Mima H. Ibrahim, Mohamed H. Tawfik, Omneia O. ElShaer, Hassan Mostafa:
Seizure Detection Using Gilbert's Algorithm. ICM 2018: 287-290 - [c110]Sahar Sharaf, Hassan Mostafa:
A study of Authentication Encryption Algorithms (POET, Deoxys, AEZ, MORUS, ACORN, AEGIS, AES-GCM) For Automotive Security. ICM 2018: 303-306 - [c109]Aya A. Ismail, Zeinab A. Shaheen, Osama Rashad, Khaled N. Salama, Hassan Mostafa:
A Low Power Hardware Implementation of Izhikevich Neuron using Stochastic Computing. ICM 2018: 315-318 - [c108]Mohamed Shehata, Mohamed Sameh Said, Hassan Mostafa:
Photodetected Power Maximization of Photonically Generated Impulse Radio Ultrawide Band Signals. ISCAS 2018: 1-4 - [c107]Mohamed Shehata, Mohamed Sameh Said, Hassan Mostafa:
A Single-Wavelength Photonic Network on Chip Design Based on Optical Orthogonal Codes. ISCAS 2018: 1-4 - [c106]Hagar Hossam, Mohamed El-Dessouky, Hassan Mostafa:
Time-based read circuit for multi-bit memristor memories. MOCAST 2018: 1-4 - [c105]Khaled Khatib, Mostafa Ahmed, Ahmed Kamaleldin, Mohamed Abdelghany, Hassan Mostafa:
Dynamically reconfigurable power efficient security for Internet of Things devices. MOCAST 2018: 1-4 - [c104]Hassan Mostafa:
Experimental study of the Adaptive Body Bias on-Chip (ABBoC) for bias temperature instability (BTI) and Process Variations (PV) compensation. MOCAST 2018: 1-4 - [c103]Assem Abdelmohsen, Mohamed Abdelwahab, Mohamed Adel, M. Saeed Darweesh, Hassan Mostafa:
LTE Handover Parameters Optimization Using Q-Learning Technique. MWSCAS 2018: 194-197 - [c102]Mohamed Shehata, Mohamed Sameh Said, Hassan Mostafa:
A Compact UWB Antenna Design for Indoor Wireless Applications. MWSCAS 2018: 202-205 - [c101]Marwa Shaheen, Hossam A. H. Fahmy, Hassan Mostafa:
Modified CONNECT: New Bufferless Router for NoC-Based FPGAs. MWSCAS 2018: 424-427 - [c100]Salma Hassan, Khaled N. Salama, Hassan Mostafa:
An Approximate Multiplier Based Hardware Implementation of the Izhikevich Model. MWSCAS 2018: 492-495 - [c99]Ahmed J. Abd El-Maksoud, Youssef O. Elmasry, Khaled N. Salama, Hassan Mostafa:
ASIC Oriented Comparative Analysis Of Biologically Inspired Neuron Models. MWSCAS 2018: 504-507 - [c98]Mohamed Shehata, Mohamed Sameh Said, Hassan Mostafa:
Evaluation and Optimization of The Bit Rate Distance Relationships in IR-UWBoF Systems. MWSCAS 2018: 656-659 - [c97]Mohamed Yousef, Ahmed Hosny, Wessam Gamil, Mohamed Adel, Hazem M. Fahmy, M. Saeed Darweesh, Hassan Mostafa:
Dual-Mode Forward Collision Avoidance Algorithm Based on Vehicle-to-Vehicle (V2V) Communication. MWSCAS 2018: 739-742 - [c96]Mohamed A. Elgammal, Omar A. Elkhouly, Heba Elhosary, Mohamed Elsayed, Ahmed Nader Mohieldin, Khaled N. Salama, Hassan Mostafa:
Linear and Nonlinear Feature Extraction for Neural Seizure Detection. MWSCAS 2018: 795-798 - [c95]Abdulwahid Mohammed, Mohamed Shehata, Hassan Mostafa, Amin M. Nassar:
Peak-to-Average Power Ratio Suppression using Companding schemes in OFDM Systems. MWSCAS 2018: 933-936 - [c94]Hagar Hossam, Ghada Mamdouh, Hagar H. Hussein, Mohamed El-Dessouky, Hassan Mostafa:
A New Read Circuit for Multi-Bit Memristor-Based Memories based on Time to Digital Sensing Circuit. MWSCAS 2018: 1114-1117 - [c93]Ahmed Zaky, Akram Ahmed, Passant Ibrahim, Basant Mahmoud, Hassan Mostafa:
In-Out Cylindrical Triboelectric Nanogenerators Based Energy Harvester. MWSCAS 2018: 1118-1121 - [c92]Islam Ahmed, Hassan Mostafa, Ahmed Nader Mohieldin:
Automatic Clock Domain Crossing Verification Flow For Dynamic Partial Reconfiguration. MWSCAS 2018: 1122-1125 - [c91]Islam Ahmed, Hassan Mostafa, Ahmed Nader Mohieldin:
On the Functional Verification of Dynamic Partial Reconfiguration. MWSCAS 2018: 1126-1129 - [c90]Ali H. Hassan, Hassan Mostafa, Khaled N. Salama, Ahmed M. Soliman:
A Low-Power Time-Domain Comparator for IoT Applications. MWSCAS 2018: 1142-1145 - [c89]Abdelrahim Elnabawy, Hussien Abdelmohsen, Moatasem Moustafa, Mostafa Elbediwy, Amr Helmy, Hassan Mostafa:
A Low Power CORDIC-Based Hardware Implementation of Izhikevich Neuron Model. NEWCAS 2018: 130-133 - [c88]Islam Ahmed, Ahmed Kamaleldin, Hassan Mostafa, Ahmed Nader Mohieldin:
Utilizing Dynamic Partial Reconfiguration to Reduce the Cost of FPGA Debugging. NEWCAS 2018: 205-208 - [c87]George S. Maximous, Ali M. Fatahalla, Ashraf Seleym, Tamer A. Ali, Hassan Mostafa:
A New CAD Tool for Energy Optimization of Diagonal Motion Mode of Attached Electrode Triboelectric Nanogenerators. NEWCAS 2018: 331-334 - [c86]Amr Abbas, Hassan Mostafa, Ahmed Nader Mohieldin:
Low Area and Low Power Implementation for CAESAR Authenticated Ciphers. NGCAS 2018: 49-52 - [c85]Ahmed Mahmoud, Loay Ehab, Mohamed Reda, Mostafa Abdelaleem, Hossam Abd El Munim, Maged Ghoneima, M. Saeed Darweesh, Hassan Mostafa:
Real-Time Lane Detection-Based Line Segment Detection. NGCAS 2018: 57-61 - [c84]Reem Abd El-Sttar, Endy Onsy, George S. Maximous, Ahmed Zaky, Tamer A. Ali, Ashraf Seleym, Hassan Mostafa:
Diagonal Mode: A New Mode for Triboelectric Anogenerators Energy Harvesters. NGCAS 2018: 114-117 - [c83]Aly Sultan, Ali H. Hassan, Hassan Mostafa:
A Compact Low-Power Mitchell-Based Error Tolerant Multiplier. NGCAS 2018: 130-133 - [c82]Sherif Hosny, Eslam Elnader, Mostafa Gamal, Abdelrhman Hussien, Ahmed H. Khalil, Hassan Mostafa:
A Software Defined Radio Transceiver Based on Dynamic Partial Reconfiguration. NGCAS 2018: 158-161 - [c81]Khaled Salah, Hassan Mostafa:
Constructing Effective UVM Testbench for DRAM Memory Controllers. NGCAS 2018: 178-181 - 2017
- [j18]Mohamed Shehata, Hassan Mostafa, Yehea Ismail:
Accurate Closed-Form Expressions for the Bit Rate-Wireless Transmission Distance Relationship in IR-UWBoF Systems. IEEE Commun. Lett. 21(10): 2138-2141 (2017) - [j17]Ahmad Sadek, Hassan Mostafa, Amin M. Nassar, Yehea Ismail:
Towards the implementation of Multi-band Multi-standard Software-Defined Radio using Dynamic Partial Reconfiguration. Int. J. Commun. Syst. 30(17) (2017) - [j16]Abdullah El-Bayoumi, Hassan Mostafa, Ahmed M. Soliman:
A Novel MIM-Capacitor-Based 1-GS/s 14-bit Variation-Tolerant Fully-Differential Voltage-to-Time Converter (VTC) Circuit. J. Circuits Syst. Comput. 26(5): 1750073:1-1750073:35 (2017) - [c80]Ali Abdelbaky, Hassan Mostafa:
New low area NB-IoT turbo encoder interleaver by sharing resources. ICM 2017: 1-4 - [c79]Madyan Alsenwi, Mohamed Saeed, Tawfik Ismail, Hassan Mostafa, Salam Gabran:
Hybrid compression technique with data segmentation for electroencephalography data. ICM 2017: 1-4 - [c78]Hossam ElGemmazy, Amr Helmy, Hassan Mostafa, Yehea Ismail:
An improved design for high speed analog applications of the fully differential operational floating conveyor. ICM 2017: 1-4 - [c77]Hazem M. Fahmy, Gerd Baumann, Mohamed A. Abd El Ghany, Hassan Mostafa:
V2V-based vehicle risk assessment and control for lane-keeping and collision avoidance. ICM 2017: 1-5 - [c76]Ahmed Fouad, Yehea Ismail, Hassan Mostafa:
Design of a time-based capacitance-to-digital converter using current starved inverters. ICM 2017: 1-4 - [c75]Khaled A. Helal, Ahmed Yasser Abo Elmkarem, Al-Moataz Bellah Refaat, Taha Shawky Kamel, Kareem Ayman Mohamed, Mohamed Mahmoud Kamal, Mohamed Mostafa Abdelrahman, Hassan Mostafa, Yehea Ismail:
Low-power high-accuracy seizure detection algorithms for neural implantable platforms. ICM 2017: 1-4 - [c74]Duha Yasser, Mohamed A. ElGamal, Mohamed Atef, Omaira Hamada, Ali H. Hassan, Hassan Mostafa:
A comparative analysis of optimized low-power comparators for biomedical-ADCs. ICM 2017: 1-4 - [c73]Ahmed Kamaleldin, Ahmed M. Soliman, Ahmed Nagy, Youssef Gamal, Ahmed Shalash, Yehea Ismail, Hassan Mostafa:
Design guidelines for the high-speed dynamic partial reconfiguration based software defined radio implementations on Xilinx Zynq FPGA. ISCAS 2017: 1-4 - [c72]Maha Beheiry, Hassan Mostafa, Yehea Ismail, Ahmed M. Soliman:
3D-NOCET: A tool for implementing 3D-NoCs based on the Direct-Elevator algorithm. ISQED 2017: 144-148 - [c71]Ali H. Hassan, Esraa M. Hamed, Eman Badr, Omar Elsharqawy, Tawfik Ismail, S. R. I. Gabran, Yehea Ismail, Hassan Mostafa:
A VCO-Based MPPT Circuit for Low-Voltage Energy Harvesters. ISVLSI 2017: 580-584 - [c70]Hossam ElGemmazy, Amr Helmy, Hassan Mostafa, Yehea Ismail:
A Novel CMOS-Based Fully Differential Operational Floating Conveyor. ISVLSI 2017: 604-608 - [c69]Alaa Salaheldin, Hassan Mostafa, Ahmed M. Soliman:
A Codec, tiles to NoC router interface, for next generation FPGAs with embedded NoCs. MWSCAS 2017: 1228-1231 - [c68]Ahmed Zaky, Mohamed Shehata, Yehea Ismail, Hassan Mostafa:
Characterization and model validation of triboelectric nanogenerators using Verilog-A. MWSCAS 2017: 1536-1539 - [c67]Ahmed Kamaleldin, Sherif Hosny, Khaled Mohamed, Mostafa Gamal, Abdelrhman Hussien, Eslam Elnader, Ahmed Shalash, Abdelfattah Mohammad Obeid, Yehea Ismail, Hassan Mostafa:
A reconfigurable hardware platform implementation for software defined radio using dynamic partial reconfiguration on Xilinx Zynq FPGA. MWSCAS 2017: 1540-1543 - [c66]Sherif F. Nafea, Ahmed A. S. Dessouki, S. El-Rabaie, Basem E. Elnaghi, Yehea Ismail, Hassan Mostafa:
Area-efficient read/write circuit for spintronic memristor based memories. MWSCAS 2017: 1544-1547 - [c65]Ali H. Hassan, Ahmed Ali, M. Wagih Ismail, Mohamed Refky, Yehea Ismail, Hassan Mostafa:
A 1 GS/s 6-bit time-based analog-to-digital converter (T-ADC) for front-end receivers. MWSCAS 2017: 1605-1608 - [c64]Ali H. Hassan, Mohamed A. ElBadry, Yehea Ismail, Hassan Mostafa:
A Low-Power Self-Startup Bandgap Circuit for Energy Efficient Applications. NGCAS 2017: 29-32 - [c63]Ahmed Abubakr, Ahmed Ibrahim, Yehea Ismail, Hassan Mostafa:
The Impact of Soft Errors on Memristor-Based Memory. NGCAS 2017: 229-232 - [c62]Amr Hassan, Hassan Mostafa, Hossam A. H. Fahmy, Yehea Ismail:
Exploiting the Dynamic Partial Reconfiguration on NoC-Based FPGA. NGCAS 2017: 277-280 - [c61]Ahmed Kamaleldin, Islam Ahmed, Abdulfattah Mohammad Obeid, Ahmed Shalash, Yehea Ismail, Hassan Mostafa:
A Cost-Effective Dynamic Partial Reconfiguration Implementation Flow for Xilinx FPGA. NGCAS 2017: 281-284 - 2016
- [j15]Esraa Abd El-Khaleq, Yasmine El-Sayed, Tawfik Ismail, Hassan Mostafa:
Electrical and optical clock and data recovery in optical access networks: a comparative study. Int. J. Commun. Syst. 29(17): 2555-2564 (2016) - [j14]Hoda Abdelsalam, Emad Hegazi, Hassan Mostafa, Yehea I. Ismail:
On the use of a programmable front-end for multi-band/multi-standard applications. Microelectron. J. 49: 1-9 (2016) - [j13]Hassan Mostafa, Yehea Ismail:
Statistical yield improvement under process variations of multi-valued memristor-based memories. Microelectron. J. 51: 46-57 (2016) - [j12]Karim O. Ragab, Hassan Mostafa, Ahmed Eladawy:
A Novel 10-Bit 2.8-mW TDC Design Using SAR With Continuous Disassembly Algorithm. IEEE Trans. Circuits Syst. II Express Briefs 63-II(10): 909-913 (2016) - [c60]Sherif F. Nafea, Ahmed A. S. Dessouki, S. El-Rabaie, Kh. El-Barbary, Hassan Mostafa:
Read disturbance and temperature variation aware spintronic memristor model. CCECE 2016: 1-4 - [c59]Madyan Alsenwi, Tawfik Ismail, Hassan Mostafa:
Performance analysis of hybrid lossy/lossless compression techniques for EEG data. ICM 2016: 1-4 - [c58]Mohammed Ashraf, Hassan Mostafa, Ahmed A. El-Adawy:
A low-power area-efficient design and comparative analysis for high-resolution neural data compression. ICM 2016: 217-220 - [c57]Ehab Belal, Hassan Mostafa, Yehea Ismail, M. Sameh Said:
A voltage multiplying AC/DC converter for energy harvesting applications. ICM 2016: 229-232 - [c56]Mohamed A. Bahnasawi, Khalid Ibrahim, Ahmed Mohamed, Mohamed Khalifa Mohamed, Ahmed Moustafa, Kareem Abdelmonem, Yehea Ismail, Hassan Mostafa:
ASIC-oriented comparative review of hardware security algorithms for internet of things applications. ICM 2016: 285-288 - [c55]Assem S. Hussein, Vincent C. Gaudet, Hassan Mostafa, Mohamed I. Elmasry:
A 16-bit high-speed low-power hybrid adder. ICM 2016: 313-316 - [c54]Kareem Ismail, Tawfik Ismail, Hassan Mostafa:
Design and implementation of CDR and SerDes for high speed optical communication networks using FPGA. ICTON 2016: 1-3 - [c53]Noha Gamal, Hossam A. H. Fahmy, Yehea I. Ismail, Tawfik Ismail, Mohamed Mohie El-Din, Hassan Mostafa:
Design guidelines for soft implementations to embedded NoCs of FPGAs. IDT 2016: 37-42 - [c52]Mohamed Mohie El-Din, Hossam A. H. Fahmy, Yehea Ismail, Noha Gamal, Hassan Mostafa:
Leakage power evaluation of FinFET-based FPGA cluster under threshold voltage variation. IDT 2016: 137-141 - [c51]Noha Gamal, Hossam A. H. Fahmy, Yehea I. Ismail, Hassan Mostafa:
Design guidelines for embeded NoCs on FPGAs. ISQED 2016: 69-74 - [c50]Ali H. Hassan, M. Wagih Ismail, Yehea Ismail, Hassan Mostafa:
A 200 MS/s 8-bit Time-based Analog-to-Digital Converter with inherit sample and hold. SoCC 2016: 120-124 - [c49]Ali H. Hassan, Hassan Mostafa, Tawfik Ismail, S. R. I. Gabran:
An ultra-low power voltage-to-time converter (VTC) circuit for low power and low speed applications. SoCC 2016: 178-182 - [c48]Moez El-Massry, Moataz M. Medhat, Hassan Mostafa:
Novel ultra low voltage mobile compatible RF MEMS switch for reconfigurable microstrip antenna. SoCC 2016: 286-289 - 2015
- [j11]Ayman Eltaliawy, Hassan Mostafa, Yehea I. Ismail:
Micro-scale variation-tolerant exponential tracking energy harvesting system for wireless sensor networks. Microelectron. J. 46(3): 221-230 (2015) - [j10]Mohamed Elshamy, Hassan Mostafa, Yehya H. Ghallab, Mohamed Sameh Said:
A Novel Nondestructive Read/Write Circuit for Memristor-Based Memory Arrays. IEEE Trans. Very Large Scale Integr. Syst. 23(11): 2648-2656 (2015) - [c47]Osama Abdelkader, Hassan Mostafa, Hamdy Abd Elhamid, Ahmed M. Soliman:
The impact of FinFET technology scaling on critical path performance under process variations. ICEAC 2015: 1-4 - [c46]Hoda Abdelsalam, Emad Hegazi, Hassan Mostafa, Yehea Ismail:
A tunable receiver architecture utilizing time-varying matching network for a universal receiver. ICEAC 2015: 1-4 - [c45]Khaled M. Ali, Hassan Mostafa, Tawfik Ismail:
High performance layout-friendly 64-bit priority encoder utilizing parallel priority look-ahead. ICEAC 2015: 1-4 - [c44]Yasmine El-Sayed, Amr Wageeh, Tawfik Ismail, Hassan Mostafa:
All-optical clock and data recovery using self-pulsating lasers for high-speed optical networks. ICEAC 2015: 1-3 - [c43]Mostafa Ibrahim, Ayman Eltaliawy, Hassan Mostafa, Yehea Ismail:
A new digital current sensing technique suitable for low power energy harvesting systems. ICEAC 2015: 1-4 - [c42]Karim O. Ragab, Hassan Mostafa, Ahmed Eladawy:
TDC SAR algorithm with continuous disassembly (SAR-CD) for time-based ADCs. ICEAC 2015: 1-4 - [c41]Alaa Salaheldin, Karim Abdallah, Noha Gamal, Hassan Mostafa:
Review of NoC-based FPGAs architectures. ICEAC 2015: 1-4 - [c40]Amr Hassan, Ramy Ahmed, Hassan Mostafa, Hossam A. H. Fahmy, Ahmed Hussien:
Performance evaluation of dynamic partial reconfiguration techniques for software defined radio implementation on FPGA. ICECS 2015: 183-186 - [c39]Osama Abdelkader, Hassan Mostafa, Hamdy Abd Elhamid, Ahmed M. Soliman:
Impact of technology scaling on the minimum energy point for FinFET based flip-flops. ICECS 2015: 462-465 - [c38]Abdullah El-Bayoumi, Hassan Mostafa, Ahmed M. Soliman:
A new 16-bit low-power PVT-calibrated time-based differential Analog-to-Digital Converter (ADC) circuit in CMOS 65nm technology. ICECS 2015: 492-493 - [c37]Nermine M. Edward, Yehya H. Ghallab, Hassan Mostafa, Yehea I. Ismail:
A CMOS based operational floating current conveyor and its applications. ICECS 2015: 494-495 - [c36]Sherif Omar, Hassan Mostafa, Tawfik Ismail, Salam Gabran:
Low-power implantable seizure detection processor. ICECS 2015: 496-497 - [c35]Ahmed Sadek, Hassan Mostafa, Amin M. Nassar:
On the use of dynamic partial reconfiguration for multi-band/multi-standard software defined radio. ICECS 2015: 498-499 - [c34]Bassem Safieldeen, Hassan Mostafa, Hamdy Abd Elhamid, Yehea Ismail:
A comparative evaluation of single-walled carbon nanotubes and copper in interconnects and Through-Silicon Vias. ICECS 2015: 519-522 - [c33]Marwa Abdallah, Hassan Mostafa, Mohamed Fathy:
Yield optimization of spintronic memristor-based memory arrays. ICECS 2015: 523-526 - [c32]Tawfik Ismail, Hassan Mostafa, Yehea Ismail:
Performance evaluation of wavelength exchanging in optical interconnect. ICECS 2015: 681-684 - [c31]Ahmed Sadek, Hassan Mostafa, Amin M. Nassar:
Dynamic channel coding reconfiguration in Software Defined Radio. ICM 2015: 13-16 - [c30]Nourane G. Tawfik, Hassan Mostafa, Yehia Ismail:
Comparison between analog and digital locking MPPT unit for micro-scale PV Energy Harvesting systems. ICM 2015: 67-70 - [c29]Mostafa El-Khouly, Ahmed H. Madian, Hassan Mostafa:
Insights for utilizing the memristor as a multi-bit based memory. ICM 2015: 79-82 - [c28]Ahmed El-Attar, Saif Ahmed, Youssef Abdelkader, Mohamed Badran, Ali H. Hassan, Hassan Mostafa:
A comparative analysis of optimized CMOS neural amplifier. ICM 2015: 107-110 - [c27]Abdullah El-Bayoumi, Hassan Mostafa, Ahmed M. Soliman:
A new 65nm-CMOS 1V 8GS/s 9-bit differential Voltage-Controlled Delay Unit utilized for a Time-Based Analog-to-Digital Converter circuit. ICM 2015: 158-161 - [c26]Maha Beheiry, Ahmad Aly, Hassan Mostafa, Ahmed M. Soliman:
Direct-Elevator: A modified routing algorithm for 3D-NoCs. ICM 2015: 222-225 - [c25]Ehab Belal, Hassan Mostafa, M. Sameh Said:
Comparison between active AC-DC converters for low power energy harvesting systems. ICM 2015: 253-256 - [c24]Yasmine El-Sayed, Tawfik Ismail, Hassan Mostafa:
A wide FBG-based Optical Clock and Data Recovery for optical access networks. ICTON 2015: 1-4 - [c23]Hoda Abdelsalam, Emad Hegazi, Hassan Mostafa, Yehea I. Ismail:
A tunable multi-band/multi-standard receiver front-end supporting LTE. ISCAS 2015: 974-977 - [c22]Abdullah El-Bayoumi, Hassan Mostafa, Ahmed M. Soliman:
A new highly-linear highly-sensitive differential voltage-to-time converter circuit in CMOS 65nm technology. ISCAS 2015: 1262-1265 - [c21]Khaled A. Helal, Sameh Attia, Tawfik Ismail, Hassan Mostafa:
Comparative review of NoCs in the context of ASICs and FPGAs. ISCAS 2015: 1866-1869 - [c20]Mohamed Mohie El-Din, Hassan Mostafa, Hossam A. H. Fahmy, Yehea I. Ismail, Hamdy Abdelhamid:
Performance evaluation of FinFET-based FPGA cluster under threshold voltage variation. NEWCAS 2015: 1-4 - [c19]Ayman Eltaliawy, Hassan Mostafa, Yehea I. Ismail:
A new digital locking MPPT control for ultra low power energy harvesting systems. NEWCAS 2015: 1-4 - [c18]Khaled A. Helal, Sameh Attia, Tawfik Ismail, Hassan Mostafa:
Priority-select arbiter: An efficient round-robin arbiter. NEWCAS 2015: 1-4 - 2014
- [c17]Mohamed Elshamy, Hassan Mostafa, M. Sameh Said:
Comparative review of the TiO2 and the spintronic memristor devices. CCECE 2014: 1-6 - [c16]Mohamed Elshamy, Hassan Mostafa, M. Sameh Said:
A novel non-destructive readout circuit for Memristor-based memory arrays. CCECE 2014: 1-5 - [c15]Ayman Eltaliawy, Hassan Mostafa, Yehea I. Ismail:
Circuit design techniques for increasing the output power of switched capacitor charge pumps. CCECE 2014: 1-5 - [c14]Hassan Mostafa, Mohab Anis, Mohamed I. Elmasry:
Negative capacitance circuits for process variations compensation and timing yield improvement. CCECE 2014: 1-4 - [c13]Hassan Mostafa, Yehea I. Ismail:
A design oriented model for timing jitter/skew of Voltage-to-Time Converter (VTC) circuits. CCECE 2014: 1-6 - [c12]Marwa Abdallah, Hassan Mostafa, Mohamed Fathy:
Yield maximization of TiO2 memristor-based memory arrays. ICM 2014: 5-8 - [c11]Hoda Abdelsalam, Emad Hegazi, Hassan Mostafa, Yehea Ismail:
A programmable receiver front-end architecture supporting LTE. ICM 2014: 25-28 - [c10]Ahmed T. Elthakeb, Hamdy Abd Elhamid, Hassan Mostafa, Yehea Ismail:
Performance evaluation of finFET based SRAM under statistical VT variability. ICM 2014: 88-91 - [c9]Assem S. Hussein, Mahmoud Fawzy, M. Wagih Ismail, Mohamed Refky, Hassan Mostafa:
A 4-bit 6GS/s time-based analog-to-digital converter. ICM 2014: 92-95 - [c8]Ali H. Hassan, Maged Ali, Nabil Mohammed, Ahmed Ali, Mohammed Hassoubh, M. Wagih Ismail, Mohammed Refky, Hassan Mostafa:
A 500 MS/s 6 bits delay line ADC with inherit sample & hold. ICM 2014: 96-99 - [c7]M. Wagih Ismail, Hassan Mostafa:
A new design methodology for Voltage-to-Time Converters (VTCs) circuits suitable for Time-based Analog-to-Digital Converters (T-ADC). SoCC 2014: 103-108 - 2013
- [b1]Hassan Mostafa:
Automatic Document Topic Identification Using Hierarchical Ontology Extracted from Human Background Knowledge. University of Waterloo, Ontario, Canada, 2013 - [j9]Hassan Mostafa, Mohab Anis, Mohamed I. Elmasry:
Statistical SRAM Read Access Yield Improvement Using Negative Capacitance Circuits. IEEE Trans. Very Large Scale Integr. Syst. 21(1): 92-101 (2013) - [c6]Hassan Mostafa, Yehea I. Ismail:
Highly-linear voltage-to-time converter (VTC) circuit for time-based analog-to-digital converters (T-ADCs). ICECS 2013: 149-152 - [c5]Hassan Mostafa, Mohab Anis, Mohamed I. Elmasry:
Negative capacitance circuits for process variations compensation and timing yield improvement. ICECS 2013: 277-280 - [c4]Ayman Eltaliawy, Hassan Mostafa, Yehea I. Ismail:
Microscale Solar Energy Harvesting for Wireless Sensor Networks based on Exponential Maximum power locking technique. ICECS 2013: 889-892 - 2012
- [j8]Hassan Mostafa, Mohab Anis, Mohamed I. Elmasry:
On-Chip Process Variations Compensation Using an Analog Adaptive Body Bias (A-ABB). IEEE Trans. Very Large Scale Integr. Syst. 20(4): 770-774 (2012) - 2011
- [j7]Hassan Mostafa, Mohab Anis, Mohamed I. Elmasry:
Novel Timing Yield Improvement Circuits for High-Performance Low-Power Wide Fan-In Dynamic OR Gates. IEEE Trans. Circuits Syst. I Regul. Pap. 58-I(8): 1785-1797 (2011) - [j6]Hassan Mostafa, Mohab Anis, Mohamed I. Elmasry:
Adaptive Body Bias for Reducing the Impacts of NBTI and Process Variations on 6T SRAM Cells. IEEE Trans. Circuits Syst. I Regul. Pap. 58-I(12): 2859-2871 (2011) - [j5]Hassan Mostafa, Mohab Anis, Mohamed I. Elmasry:
Analytical Soft Error Models Accounting for Die-to-Die and Within-Die Variations in Sub-Threshold SRAM Cells. IEEE Trans. Very Large Scale Integr. Syst. 19(2): 182-195 (2011) - [j4]Hassan Mostafa, Mohab Anis, Mohamed I. Elmasry:
A Novel Low Area Overhead Direct Adaptive Body Bias (D-ABB) Circuit for Die-to-Die and Within-Die Variations Compensation. IEEE Trans. Very Large Scale Integr. Syst. 19(10): 1848-1860 (2011) - [j3]Hassan Mostafa, Mohab Anis, Mohamed I. Elmasry:
A Bias-Dependent Model for the Impact of Process Variations on the SRAM Soft Error Immunity. IEEE Trans. Very Large Scale Integr. Syst. 19(11): 2130-2134 (2011) - 2010
- [j2]Hassan Mostafa, Hewida Mohamed, Ahmed M. Soliman:
Novel FCS-Based Layout-Friendly Accurate Wide-Band Low-Power CCII- Realizations. J. Circuits Syst. Comput. 19(5): 997-1014 (2010) - [j1]Hassan Mostafa, Mohab Anis, Mohamed I. Elmasry:
A Design-Oriented Soft Error Rate Variation Model Accounting for Both Die-to-Die and Within-Die Variations in Submicrometer CMOS SRAM Cells. IEEE Trans. Circuits Syst. I Regul. Pap. 57-I(6): 1298-1311 (2010) - [c3]Hassan Mostafa, Mohab Anis, Mohamed I. Elmasry:
Comparative analysis of power yield improvement under process variation of sub-threshold flip-flops. ISCAS 2010: 1739-1742 - [c2]Hassan Mostafa, Mohab Anis, Mohamed I. Elmasry:
Statistical timing yield improvement of dynamic circuits using negative capacitance technique. ISCAS 2010: 1747-1750
2000 – 2009
- 2009
- [c1]Hassan Mostafa, Mohab Anis, Mohamed I. Elmasry:
Comparative Analysis of Timing Yield Improvement under Process Variations of Flip-Flops Circuits. ISVLSI 2009: 133-138
Coauthor Index
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-12-03 20:28 CET by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint