default search action
57th MWSCAS 2014: College Station, TX, USA
- IEEE 57th International Midwest Symposium on Circuits and Systems, MWSCAS 2014, College Station, TX, USA, August 3-6, 2014. IEEE 2014, ISBN 978-1-4799-4134-6
- Haiyang Zhu, Wenhua Yang, Nathan Egan, Yong-Bin Kim:
Calibration technique tracking temperature for current-steering digital-to-analog converters. 1-4 - José Silva-Martínez, Edgar Sánchez-Sinencio, José G. Delgado-Frias, Randall L. Geiger:
Welcome to MWSCAS 2014. 1-2 - In-Seok Jung, Yong-Bin Kim:
A novel self-calibration scheme for 12-bit 50MS/s SAR ADC. 5-8 - Md. Tanvir Rahman, Torsten Lehmann:
A 10 bit cryogenic CMOS D/A converter. 9-12 - Noble Narku-Tetteh, Alex Titriku, Samuel Palermo:
A 15b, Sub-10ps resolution, low dead time, wide range two-stage TDC. 13-16 - Pedram Payandehnia, Xin Meng, Gabor C. Temes:
Multi-step counting ADC. 17-20 - Câncio Monteiro, Yasuhiro Takahashi, Toshikazu Sekine:
Process variation verification of low-power secure CSSAL AES S-box circuit. 21-24 - Liang Men, Jia Di:
An asynchronous finite impulse response filter design for Digital Signal Processing circuit. 25-28 - Gopi Neela, Jeffrey Draper:
A multi-mode energy-efficient double-precision floating-point multiplier. 29-32 - Munem Hossain, Masud H. Chowdhury:
Transistor doping profile optimization for low power subthreshold circuits. 33-36 - Ying-Khai Teh, Philip K. T. Mok:
A bipolar output voltage pulse transformer boost converter with charge pump assisted shunt regulator for thermoelectric energy harvesting. 37-40 - Haozhou Zhang, Menglian Zhao, Sheng Liu, Yuhua Fang, Xiaobo Wu:
A 20-300mV transformer-based self-startup flyback converter with MPPT and ZCS control for thermoelectric energy harvesting. 41-44 - Jarno Salomaa, Mika Pulkkinen, Kari Halonen:
A switched-capacitor voltage regulator for ultra-low power energy harvesting systems. 45-48 - Sheng Liu, Yi Zhao, Menglian Zhao, Haozhou Zhang, Xiaobo Wu:
A burst-mode based boost converter harvesting photovoltaic energy for low power applications. 49-52 - Yin Li, Manjusri Misra, Stefano Gregori:
Model and prototype of a green electrostatic harvester of vibration energy. 53-56 - Mi Zhou, Han Ren, Jin Shao, Bayaner Arigong, Jun Ding, Hualiang Zhang:
Reconfigurable microwave couplers with dynamic performance control. 57-60 - Zachary Dunn, Mark Yeary, Caleb Fulton:
Frequency-dependent power amplifier modeling and correction for distortion in wideband radar transmissions. 61-64 - Yiran Li, Changzhan Gu, Tooraj Nikoubin, Changzhi Li:
Wireless radar devices for smart human-computer interaction. 65-68 - Md. Naimul Hasan, Sudhir Aggarwal, Qun Jane Gu, Xiaoguang Liu:
Reconfigurable N-path RF front-end filter with improved blocker rejection. 69-72 - Mohammad J. Almalkawi, Lee W. Cross, Khair A. Alshamaileh:
A transmission line circuit-oriented approach for miniaturization of a log-periodic dipole array (LPDA) antenna. 73-76 - Byungho Min, Noah Hae-Woong Yang, Samuel Palermo:
10 Gb/s adaptive receive-side near-end and far-end crosstalk cancellation circuitry. 77-80 - Ahmed Ismail, Sameh Ibrahim, Mohamed Dessouky:
A 8 Gbps 0.67mW 1 tap current integrating DFE in 40nm CMOS. 81-84 - Shita Guo, Tianzuo Xi, Guoying Wu, Tianwei Liu, Tao Zhang, Ping Gui, Yanli Fan, Mark Morgan:
A low-power 28 Gb/s CDR using artificial lc transmission line technique in 65 nm CMOS. 85-88 - Xinwang Zhang, Baoyong Chi, Bingqiao Liu, Zhihua Wang:
-80dBm∼0dBm dynamic range, 30mV/dB detection sensitivity piecewise RSSI for SDR/CR receivers. 89-92 - Alejandro Garzón-Camacho, Begoña Fernández, Marcos Aurelio Gonzalez Alvarez, Joaquin Ceballos Caceres, José M. de la Rosa:
Readout electronic system for particle tracking in secondary electron detectors. 93-96 - Xiaoyang Wang, Xiong Zhou, Qiang Li:
A energy-efficient high speed segmented prequantize and bypass DAC for SAR ADCs. 97-100 - David E. Bellasi, Luca Bettini, Thomas Burger, Qiuting Huang, Christian Benkeser, Christoph Studer:
A 1.9 GS/s 4-bit sub-Nyquist flash ADC for 3.8 GHz compressive spectrum sensing in 28 nm CMOS. 101-104 - Xiaolin Yang, Yin Zhou, Menglian Zhao, Zhongyi Huang, Lin Deng, Xiaobo Wu:
A 0.9V 12-bit 200-kS/s 1.07µW SAR ADC with ladder-based reconfigurable time-domain comparator. 105-108 - Yingchieh Ho, Chou-Ming Kuo, Chauchin Su:
A low-power analog-to-digital converter with digitalized amplifier for PAM systems. 109-112 - Liang Men, Jia Di:
Framework of a scalable delay-insensitive asynchronous platform enabling heterogeneous concurrency. 113-116 - Bardia Bozorgzadeh, Shahab Shahdoost, Ali Afzali-Kusha:
Delay variation analysis in the presence of power supply noise in nano-scale digital VLSI circuits. 117-120 - Matthew Mayhew, Radu Muresan:
Modeling the effect of NMOS gate capacitance in an on-chip decoupling capacitor PAA countermeasure. 121-124 - Volnei A. Pedroni:
Detailed analysis of implementation options for timed finite state machines in hardware. 125-128 - Do Han Lee, Tae Hee Han:
An improvement technique for the test compression ratio and application time of multiple expansion scan chain based SoC using new cost function. 129-132 - Jonghoon Jin, Vinayak Gokhale, Aysegul Dundar, Bharadwaj Krishnamurthy, Berin Martini, Eugenio Culurciello:
An efficient implementation of deep convolutional neural networks on a mobile coprocessor. 133-136 - Ahmed Aldhahab, George K. Atia, Wasfy B. Mikhael:
Supervised facial recognition based on multi-resolution analysis and feature alignment. 137-140 - Hamidreza Sadreazami, M. Omair Ahmad, M. N. S. Swamy:
Contourlet domain image denoising using the alpha-stable distribution. 141-144 - Naren Ramesh, George B. Purdy, Carla Purdy, Justin W. Smith:
A hardware implementation of Hough Transform based on parabolic duality. 145-148 - Yuki Itoh, Siwei Feng, Marco F. Duarte, Mario Parente:
Hyperspectral unmixing via semantic spectral representations. 149-152 - Payman Zarkesh-Ha:
An intelligent readout circuit for infrared multispectral remote sensing. 153-156 - William McDowell, Wasfy B. Mikhael:
MASINT fusion of multispectral, hyperspectral & kinematic phenomenology. 157-160 - Joseph E. Graziosi, Edwin M. Sawan, John M. Watkins:
Approximate controller design for singularly perturbed aircraft systems. 161-164 - Carlos S. Betancor-Martin, Juan A. Montiel-Nelson, Aurelio Vega-Martinez:
Deadzone compensation in motion control systems using model reference direct inverse control. 165-168 - Mohammed Affan Zidan, Jürgen Kosel, Khaled N. Salama:
Low pull-in voltage electrostatic MEMS switch using liquid dielectric. 169-172 - Andrew P. Nicholson, Julian Jenkins, André van Schaik, Tara Julia Hamilton, Torsten Lehmann:
A digital to transconductance converter for nauta structure op-amps in 65nm CMOS. 173-176 - Laura Conesa-Peraleja, Susana Patón:
Time difference amplifiers to improve the dynamic range of MASH time encoded ADCs. 177-180 - Claudio Talarico, Gaurav Agrawal, Janet Meiling Wang Roveda:
A 60dBO 2.9 GHz 0.18 µm CMOS transimpedance amplifier for a fiber optic receiver application. 181-184 - Norbert Herencsar, Jaroslav Koton, Kamil Vrba, Oguzhan Cicekoglu:
Low-voltage fully cascadable resistorless transadmittance-mode all-pass filter. 185-188 - Anand D. Darji, Ankur Limaye:
Memory efficient VLSI architecture for lifting-based DWT. 189-192 - Anand D. Darji, Konale Shashikanth, Ankur Limaye, S. N. Merchant, Arun N. Chandorkar:
Flipping-based high speed VLSI architecture for 2-D lifting DWT. 193-196 - Pedro R. De La Torre, Khaled N. Salama, Michael L. Berumen:
An acoustic system for autonomous navigation and tracking of marine fauna. 197-200 - Jonathan Lockhart, Carla Purdy, Philip A. Wilsey:
Formal methods for safety critical system specification. 201-204 - Max Farias, Edna Barros, André Araújo:
An approach for multi-task and multi-application mapping onto NoC-based MPSoC. 205-208 - J. A. Rentería-Cedano, L. M. Aguilar-Lobo, José Raúl Loo-Yau, Susana Ortega-Cisneros:
Implementation of a NARX neural network in a FPGA for modeling the inverse characteristics of power amplifiers. 209-212 - Kareem Habib, Mona Safar, Mohamed Dessouky, Ashraf Salem:
Don't cares based dynamic test vector compaction in SAT-ATPG. 213-217 - Carla Purdy, Chandan Singh, Rashna Seli:
Fixing power bugs at RTL stage using PSL assertions. 218-221 - Waqas Gul, Syed Rafay Hasan, Osman Hasan:
Yield aware inter-logic-layer communication in 3-D ICs: Early design stage recommendations. 222-225 - Kunihiro Fujiyoshi, Keitaro Ue:
Average placement method with common centroid constraints for analog IC layout design. 226-229 - Hiroyuki Yamauchi, Worawit Somha:
Comparative study on deconvolution function dependencies of RTN/RDF effect estimation errors in analyzing sub-nm-scaled SRAM margins. 230-233 - Adriana Carolina Sanabria-Borbon, Esteban Tlelo-Cuautle:
Sizing analog integrated circuits by combining gm/ID technique and evolutionary algorithms. 234-237 - Muhammad Sanaullah, Masud H. Chowdhury:
A new real pole delay model for RLC interconnect using second order approximation. 238-241 - Bing Xu, Shouyi Yin, Leibo Liu, Shaojun Wei:
Low-power loop pipelining mapping onto CGRA utilizing variable dual VDD. 242-245 - Scott Koziol, Richard B. Wunderlich, Jennifer Hasler:
Three dimensional robot path planning using a field programmable analog array. 246-249 - Federico A. Altolaguirre, Ming-Dou Ker:
Power-rail ESD clamp circuit with embedded-trigger SCR device in a 65-nm CMOS process. 250-253 - Linfei Guo, Tong Ge, Yang Kang, Huiqiao He, Joseph Sylvester Chang:
Analysis and design of PWM-in-PWM-out Class D Amplifiers. 254-257 - Bin Huang, Degang Chen:
An effective conductance cancellation method with minimal design effort. 258-261 - Pedram Payandehnia, Hamidreza Maghami, Xin Meng, Gabor C. Temes, Hirokazu Yoshizawa:
Sequential interstage correlated double sampling: A switched-capacitor technique for high accuracy systems. 262-265 - Huiqiao He, Tong Ge, Linfei Guo, Joseph S. Chang:
An investigation into the effect of carrier generators on power supply noise in PWM Class D amplifiers. 266-269 - Bin Huang, Degang Chen:
A simple slew rate enhancement technique with improved linearity and preserved small signal performance. 270-273 - Jiwei Sun, Pingshan Wang:
High voltage charge pump with triple well diodes in a 0.13 µm bulk CMOS process. 274-277 - Srijita Patra, Randall L. Geiger:
Comparison of MOSFET mismatch models with random physical and random model variables. 278-281 - Shankar Thirunakkarasu, Robert E. Seymour:
Reduction in the area of a high voltage multiplexer. 282-285 - Jinyong Zhang, Shing-Chow Chan, Lei Wang:
A 1.8 µW area-efficient bio-potential amplifier with 90 dB DC offset suppression. 286-289 - Mohammad Habib Ullah Habib, Khandaker A. Al Mamun, Nicole McFarlane:
A SPICE model for perimeter-gated single photon avalanche diode. 290-293 - Ho Joon Lee, Yong-Bin Kim, Kyung Ki Kim:
Full custom implementation of a S-Box circuit architecture using power gated PLA structure. 294-297 - Pascal Nsame, Guy Bois, Yvon Savaria:
Adaptive real-time DSP acceleration for SoC applications. 298-301 - David S. Lee, Jeffrey Draper:
A framework to quantify FPGA design hardness against radiation-induced single event effects. 302-305 - Trey Reece, Bradley T. Kiddie, William H. Robinson:
Identification of Trojans in an FPGA using low-precision equipment. 306-309 - Shahab Shahdoost, Pedram Mohseni, Shawn Frost, Randolph J. Nudo:
A multichannel corticospinal interface IC for intracortical spike recording and distinct muscle pattern activation via intraspinal microstimulation. 310-313 - Zhexiang Chi, Hanjun Jiang, Jingpei Xia, Heng Liu, Zhaoyang Weng, Jingjing Dong, Kai Yang, Zhihua Wang:
A smart capsule for in-body pH and temperature continuous monitoring. 314-317 - Enver G. Kilinc, Catherine Dehollain, Franco Maloberti:
A low-power PPM demodulator for remotely powered batteryless implantable devices. 318-321 - Ricardo Zepeda, Rafael Mena:
Design of an NFC enabled bio-patch solution. 322-325 - Yiyan Li, Roger Chen, R. Jacob Baker:
A fast fabricating electro-wetting platform to implement large droplet manipulation. 326-329 - Judy M. Amanor-Boadu, Mohamed A. Abouzied, Salvador Carreon-Bautista, Roland Ribeiro, Xiaosen Liu, Edgar Sánchez-Sinencio:
A switched mode Li-ion battery charger with multiple energy harvesting systems simultaneously used as input sources. 330-333 - Joshua J. Cowan, Gabriel A. Rincón-Mora:
Harvesting the highest power from tiny electrostatic transducers with CMOS circuits. 334-337 - Wei Fu, Ayman A. Fayed:
A self-regulated 588 MHz buck regulator with on-chip passives and circuit stuffing in 65nm. 338-341 - Chang-Joon Park, José Silva-Martínez, Marvin Onabajo:
Design techniques for external capacitor-less LDOs with high PSR over wide frequency range. 342-345 - Zhidong Liu, Jing Xue, Lin Cong, Hoi Lee:
Building high-frequency high-voltage switching converters for renewable energy systems. 346-349 - Farinaz Koushanfar, Ramesh Karri:
Can the SHIELD protect our integrated circuits? 350-353 - Jie Wu, Jinjun Xiong, Prasenjit Shil, Yiyu Shi:
Optimal PMU placement for identification of multiple power line outages in smart grids. 354-357 - Jaemin Kim, Donkyu Baek, Jeongmin Hong, Naehyuck Chang:
Partially solar powered full electric vehicles. 358-361 - Lin Liu, Yuchen Zhou, Yang Liu, Shiyan Hu:
Dynamic programming based game theoretic algorithm for economical multi-user smart home scheduling. 362-365 - Qi Zhu:
Model-based synthesis for real-time embedded systems. 366-369 - Ja-Yol Lee, Mi-Jeong Park, Hyun-Kyu Yu, Cheon-Soo Kim:
A 230ns settling time type-I PLL with 0.96mW TDC power and simple TV calculation algorithm. 370-373 - Choong-Eui Lee, Bai-Sun Kong:
A low-noise and low-power voltage-controlled oscillator. 374-377 - Andoni Beriain, Héctor Solar, Roc Berenguer, Juan A. Montiel-Nelson, Javier Sosa, Rubén Pulido, Santiago García-Alonso:
A very low power 7.9 bit MEMS pressure sensor suitable for batteryless RFID applications. 378-381 - Shailesh Singh Chouhan, Kari Halonen:
A CMOS based µ-power smart temperature sensor for ON-chip thermal monitoring. 382-385 - Javad Ghasemi, Payman Zarkesh-Ha, Sanjay Krishna, Sebastián E. Godoy, Majeed M. Hayat:
A novel readout circuit for on-sensor multispectral classification. 386-389 - Fernando Cardes, Luis Hernández, Javier Escobar, Andreas Wiesbauer, Dietmar Straeussnigg, Richard Gaggl:
A time-encoding CMOS capacitive sensor readout circuit with flicker noise reduction. 390-393 - K. R. Raghunandan, Nan Sun, T. R. Viswanathan:
Analog signal processing in deep submicron CMOS technologies using inverters. 394-397 - Xin Meng, Gabor C. Temes:
Bootstrapping techniques for floating switches in switched-capacitor circuits. 398-401 - Hari Shanker Gupta, Subhananda Chakrabarti, Maryam Shojaei Baghini, Dinesh Kumar Sharma, A. S. Kiran Kumar, Sanjeev Mehta, Sandip Paul, Ravi Shankar Chaurasia, Arup Roy Chowdhury:
Implementation of high performance Readout Integrated Circuit. 402-405 - Qianqian Wang, Randall L. Geiger, Degang Chen:
Challenges and opportunities for determining presence of multiple equilibrium points with circuit simulators. 406-409 - Somanshu Agarwal, Vijaya Sankara Rao Pasupureddi:
A 5-Gb/s adaptive CTLE with eye-monitoring for multi-drop bus applications. 410-413 - Jiwei Sun, Pingshan Wang:
A CMOS short pulse generator with a high-voltage stacked MOSFET switch. 414-417 - A. H. Madian, S. H. Moustafa, H. E. El-Kolaly:
Memcapacitor based CMOS neural amplifier. 418-421 - Igor M. Filanovsky, B. Pellegrini:
Calculation of closing impedance in feedback systems based on cut-insertion theorem. 422-425 - Wieslaw Marszalek, Zdzislaw Trzaska:
Mixed numerical and analytical analysis of nonlinear circuits with nonsmooth inputs: A hyperbolic algebra approach. 426-430 - Ifiok J. Umoh, Tokunbo Ogunfunmi:
Digital post-distortion linearization of wideband wireless receiver nonlinearity. 431-434 - Michal Melosik, Wieslaw Marszalek:
A hybrid chaos-based pseudo-random bit generator in VHDL-AMS. 435-438 - Shunji Nakata, Hiroshi Makino, Yoshio Matsuda:
A new stepwise adiabatic charging circuit with a smaller capacitance in a regenerator than a load capacitance. 439-442 - Xiao Li, Robert S. Balog:
Analysis and comparison of two active anti-islanding detection methods. 443-446 - Herminio Martínez-Garcia:
Power gyrator structures: Versatile cells for energy processing in photovoltaic solar facilities. 447-450 - Hung-Ming Chou, Garng M. Huang, Karen L. Butler-Purry:
Investigation of reactive power limit induced voltage collapse. 451-454 - Herminio Martínez-Garcia:
Design of a linear-assisted DC-DC switching regulator with constant switching frequency. 455-458 - Ruichen Zhao, Steven A. Morris, Alexis Kwasinski:
A dual-input forward converter for downhole drilling applications. 459-462 - Agasthya Ayachit, Marian K. Kazimierczuk:
Power losses and efficiency analysis of the quadratic buck converter in CCM. 463-466 - Leticia Ibarra, Benjamin Hilton, Mehna Nawal, Salvador Carreon-Bautista, Mohamed Abouzied, Xiaosen Liu, Roland Ribeiro, Judy Amanor-Badu, Ethan Miller, Jorge Vanegas, Edgar Sánchez-Sinencio:
SmartShelter: A Sustainable power system design using energy harvesting techniques. 467-470 - Rui Bai, Randall L. Geiger:
Effects of non-ideal characteristics of substrate BJT on bandgap reference circuits. 471-474 - Yen-Ting Wang, Degang Chen, Randall L. Geiger:
A CMOS supply-insensitive with 13ppm/°C temperature coefficient current reference. 475-478 - Oscar E. Mattia, Hamilton Klimach, Sergio Bampi:
0.7 V supply, 8 nW, 8 ppm/°C resistorless sub-bandgap voltage reference. 479-482 - João Casaleiro, Luís Bica Oliveira, Igor M. Filanovsky:
Quadrature error of two-integrator oscillators. 483-486 - Eric Gutierrez, Luis Hernández, Ulrich Gaier, Sergio Walter, Liang Zou:
A low power and low distortion VCO based ADC using a pulse frequency modulator. 487-490 - Ehsan Ali, Wenceslas Rahajandraibe, Fayrouz Haddad, Christian Hangmann, Christian Hedayat:
Simulations of 3rd order voltage switched CP-PLL using a fast event switching macromodeling. 491-494 - Kyung Kang, Peter Stubberud:
A comparison of continuous time sigma delta modulator simulation methods. 495-498 - Ahmed A. M. Emara, Mohamed M. Aboudina, Hossam A. H. Fahmy:
Corrected and accurate Verilog-A for linear dopant drift model of memristors. 499-502 - Salih Ergun:
Verification of a chaos-based random number generator through the bootstrap. 503-506 - Bosco Leung:
Novel dissipative Lagrange-Hamilton formalism for LC/van der pol oscillator with new implication on phase noise dependency on quality factor. 507-510 - Sangdo Park, Taewhan Kim:
Post-silicon tuning aware wafer matching algorithm for 3d integration of ICs. 511-514 - Ghaith Bany Hamad, Syed Rafay Hasan, Otmane Aït Mohamed, Yvon Savaria:
Modeling, analyzing, and abstracting single event transient propagation at gate level. 515-518 - Nur Kurt-Karsilayan, Jim Falbo, Dusan Petranovic:
Efficient and accurate RIE modeling methodology for BEOL 2.5D parasitic extraction. 519-522 - Michael A. Turi, José G. Delgado-Frias:
An evaluation of 6T and 8T FinFET SRAM cell leakage currents. 523-526 - Linbin Chen, Fabrizio Lombardi, Jie Han:
FDSOI SRAM cells for low power design at 22nm technology node. 527-530 - Qing Yang, Songping Mai, Yixin Zhao, Zhijun Wang, Chun Zhang, Zhihua Wang:
An on-chip security guard based on zero-power authentication for implantable medical devices. 531-534 - Vighneshrudra Das, Donald Y. C. Lie, Tam Q. Nguyen:
A fully integrated low noise CMOS instrumentation amplifier design for low-power biosensors. 535-538 - Chun-hsiang Chang, Marvin Onabajo:
Instrumentation amplifier input capacitance cancellation for biopotential and bioimpedance measurements. 539-542 - Arjuna Madanayake, Sewwandi Wijayaratna, Chamith Wijenayake:
Combined time-delay FIR and 2-D IIR filters for EARS, radar, and imaging applications. 543-546 - Jinghong Chen, Deping Huang, Tienyu Chang, Jenshan Lin:
Wideband LNA and multi-standard frequency synthesizer for reconfigurable radio. 547-550 - Guochao Wang, José Maria Muñoz-Ferreras, Roberto Gómez-García, Changzhi Li:
Software-configured smart radar sensor for civil and biomedical applications. 551-554 - Yifei Li, Zhen Zhang, Nathan M. Neihart:
Switchless matching networks for dual-band class-E power amplifiers. 555-558 - Jin Shao, David Poe, Han Ren, Bayaner Arigong, Mi Zhou, Jun Ding, Rongguo Zhou, Hyoung Soo Kim, Hualiang Zhang:
Dual-band microwave power amplifier design using GaN transistors. 559-562 - Igor M. Filanovsky, Jani K. Jarvenhaara, Nikolay T. Tchamov:
On design of low-voltage CMOS current amplifiers. 563-566 - Shahram Minaei, Merih Yildiz, Izzet Cem Göknar, Erkan Yüce:
Negative impedance inverter and all-pass filter realizations using adder and subtractor blocks. 567-570 - Norbert Herencsar, Jaroslav Koton, Kamil Vrba, Shahram Minaei, Izzet Cem Göknar:
New low-voltage low-power VM All-Pass Filter using Current Follower with non-unity gain. 571-574 - M. Tanseer Ali, Ruiheng Wu:
Design of RF amplifier with enhanced performance. 575-578 - Alok Kumar Singh, Pragati Kumar:
A novel fully differential current mode universal filter. 579-582 - Gerardo Molina Salgado, Gordana Jovanovic-Dolecek, José M. de la Rosa:
Comb structures for Sigma-Delta ADCs with high even decimation factors. 583-586 - Xin Meng, Yi Zhang, Tao He, Gabor C. Temes:
A noise-coupled low-distortion delta-sigma ADC with shifted loop delays. 587-590 - Yi Xie, Minglei Zhang, Baoyue Wei, Xiaohua Fan:
High-speed low-power decimation filter for wideband Delta-Sigma ADC. 591-594 - Angsuman Roy, R. Jacob Baker:
A passive 2nd-order sigma-delta modulator for low-power analog-to-digital conversion. 595-598 - Yongsuk Choi, Chun-hsiang Chang, Hari Chauhan, In-Seok Jung, Marvin Onabajo, Yong-Bin Kim:
A built-in calibration system to optimize third-order intermodulation performance of RF amplifiers. 599-602 - Mohamed El Mahalawy, Neven Misljenovic, Ayman A. Fayed:
A load-pull approach using multi-frequency harmonic tuners for enhancing PAE and device model accuracy. 603-606 - Hashim Raza Khan, Abdul Raheem Qureshi, Faiza Zafar, Qamar ul Wahab:
PWM with differential Class-E amplifier for efficiency enhancement at back-off power levels. 607-610 - Victor Dupuy, Eric Kerherve, Nathalie Deltimple, Jean-Philippe Plaze, Philippe Dueme, Benoit Mallet-Guy, Yves Mancuso:
A 39.7 dBm and 18.5% PAE compact X to Ku band GaN Travelling Wave Amplifier. 611-614 - Kehan Zhu, Vishal Saxena, Wan Kuang:
Compact Verilog-A modeling of silicon traveling-wave modulator for hybrid CMOS photonic circuit design. 615-618 - Amitava Biswas:
Optimization of a dot product accelerator. 619-622 - Shan Huang, Ziyuan Zhu, Yongtao Su, Jinglin Shi:
A system-level design approach for SDR-based MPSoC in LTE baseband processing. 623-626 - Kyoungwon Kim, Daniel D. Gajski:
Trace-Driven Performance Estimation of multi-core platforms. 627-630 - Kyoungwon Kim, Daniel D. Gajski:
Hierarchy-Aware mapping of pipelined applications. 631-634 - Kumar Yelamarthi, Brian P. DeJong, Kevin Laubhan:
A Kinect based vibrotactile feedback system to assist the visually impaired. 635-638 - William K. Jenkins, H. Ding, M. Zenaldin, A. D. Salvia, R. M. Collins:
Adaptive signal processing techniques for extracting fetal electrocardiograms from noninvasive measurements. 639-642 - Hao Wang, Jianfeng Wang, Chiu-Sing Choy:
A 2.5-Mbps, 170-cm transmission distance IntraBody communication receiver front end design and its synchronization technique research. 643-646 - Mohammad Poustinchi, R. Greg Stacey, Sam Musallam:
Wide dynamic range 653 nW CMOS neurophysiological signal recording micro-brain-implant with opamp sharing technique. 647-650 - Stephanie Roth, Hoda S. Abdel-Aty-Zohdy:
Design and testing of D4 wavelets integrated chip preprocessor for chemical classifications. 651-654 - Walter E. Calienes Bartra, Ricardo Augusto da Luz Reis, Costin Anghel, Andrei Vladimirescu:
Bulk and FDSOI SRAM resiliency to radiation effects. 655-658 - Faiq Khalid Lodhi, Syed Rafay Hasan, Osman Hasan, Falah Awwad:
Hardware Trojan detection in soft error tolerant macro synchronous micro asynchronous (MSMA) pipeline. 659-662 - Oliver Sander, Falco Bapp, Timo Sandmann, Viet Vu Duy, Steffen Bähr, Jürgen Becker:
Architectural measures against radiation effects in multicore SoC for safety critical applications. 663-666 - Hector Villacorta, Jaume Segura, Sebastià A. Bota, Víctor H. Champac:
Analysis of fin height on FinFET SRAM cell hardening. 671-674 - Jinshen Wang, Fugen Zhou, Bindang Xue, Xiangzhi Bai:
Extraction of geometrical corners of buildings for infrared imaging sequence. 675-678 - Raul Humberto Pena-Gonzalez, Marco Aurelio Nuño-Maganda:
Computer vision based real-time vehicle tracking and classification system. 679-682 - Nikola Katic, Alexandre Schmid, Yusuf Leblebici:
A retina-inspired robust on-focal-plane multi-band edge-detection scheme for CMOS image sensors. 683-686 - Yoichi Hinamoto, Akimitsu Doi:
Analysis of l2-sensitivity for two-dimensional state-space digital filters by taking into account 0 and ±1 elements. 687-690 - Muhammad Tahir Akhtar:
Binormalized data-reusing filtered-reference algorithm for impulsive active noise control. 691-694 - Shotaro Nishimura, Aloys Mvuma, Takao Hinamoto:
Oscillator based complex adaptive notch filters. 695-698 - J. R. Garcia Baez, Gordana Jovanovic-Dolecek:
Applications of corrector filters to improve magnitude response of comb decimation filter. 699-702 - Hector Moncada-Gonzalez, Ruth M. Aguilar-Ponce, J. Luis Tecpanecatl-Xihuitl:
Estimation of gait stability based on accelerometer signals. 703-706 - Srinivasa Reddy Kotha, Sumit Bajaj, Sahoo Subhendu Kumar:
An RNS based FIR filter design using shift and add approach. 707-712 - Sushrant Monga, Shouri Chatterjee:
An inductorless continuous time equalizer with programmability for gigabit links. 713-716 - Lina M. Aguilar-Lobo, Alberto Garcia-Osorio, José Raúl Loo-Yau, Susana Ortega-Cisneros, Pablo Moreno, José Ernesto Rayas-Sánchez, J. Apolinar Reynoso-Hernández:
A digital predistortion technique based on a NARX network to linearize GaN class F power amplifiers. 717-720 - Celso Gutiérrez-Martínez, Adolfo Morales-Diaz, Jacobo Meza-Perez, J. Alfredo Torres-Fortiz, Joel Santos-Aguilar:
Optoelectronic multiplexing of microwave sub-carriers for high-speed wireless transmissions. 721-724 - Praveen Gunturi, David E. Kotecki:
A wideband class E PA with more than 40% PAE and over 800 MHz bandwidth. 725-728 - Dah-Chung Chang, Meng-Wei Fan:
AOA target tracking with new IMM PF algorithm. 729-732 - Praveen Gunturi, David E. Kotecki:
Temperature and supply voltage insensitive OOK transmitter for UWB outdoor communications. 733-736 - Ahmad Bashaireh, Peng Li:
Design robustness analysis of digital spiking neural circuits. 737-740 - Wenbo Chen, Wenchao Lu, Yibo Li, Kaitlyn Alexander, Rashmi Jha:
An integrated active-pixel-sensor and memristive platform for neural-inspired image learning and recognition. 741-744 - Clement Jany, Alexandre Siligaris, Pierre Vincent, Philippe Ferrari:
A novel approximated solution for the Van der Pol oscillator. Application to pulsed oscillations modeling in switched cross-coupled MOS oscillators. 745-748 - Xiaolong Liu, Lei Zhang, Li Zhang, Yan Wang, Zhiping Yu:
A 3.45-4.22 GHz PLL frequency synthesizer with constant loop bandwidth for WLAN applications. 749-752 - Fu-Chien Huang, Shou-Chen Hsu, Yi-Lin Tsai, Yung-Yu Lin, Tsung-Hsien Lin:
LMS-based digital background linearization technique for VCO-based delta-sigma ADC. 753-756 - Zong-Yi Chen, Chung-Chih Hung:
DLL-based pulse-width modulation digital-to-analog converter for continuous-time sigma delta modulators. 757-760 - Zhongyi Huang, Menglian Zhao, Xiaolin Yang, Liyu Lin, Xiaobo Wu:
A 3.86mW 106.4dB SNDR Delta-Sigma modulator based on Switched-Opamp for audio codec. 761-764 - Hemasundar Mohan Geddada, Chang-Joon Park, José Silva-Martínez, Aydin Ilker Karsilayan:
Blocker tolerant wideband continuous time sigma-delta modulator for wireless applications. 765-768 - Lin Cong, Jing Xue, Hoi Lee:
A 140W 97%-efficiency 4MHz two-phase buck converter with quasi-square-wave ZVS scheme. 769-772 - Mohammed Fouly Mostafa, Mohamed M. Aboudina, Faisal A. Hussien:
Novel buck converter architectures for large step-down conversion ratio. 773-776 - Fan Yang, Philip K. T. Mok:
Switch-less adaptive feed-forward supply noise cancellation technique for capacitor-less LDR. 777-780 - Bo Tian, Souhib Harb, Robert S. Balog:
Ripple-port integrated PFC rectifier with fast dynamic response. 781-784 - Takao Hinamoto, Akimitsu Doi, Wu-Sheng Lu:
Joint optimization of high-order error feedback and realization for roundoff noise minimization in state-estimate feedback controllers. 785-788 - Li Tan, Jean Jiang:
Nonlinear active noise control using diagonal-channel LMS and RLS bilinear filters. 789-792 - Jiajia Chen, Weiao Ding, Juan Helen Zhou:
Design of hardware efficient modulated filter bank for EEG signals feature extraction. 793-796 - Erika Y. Teran Bahena, Gordana Jovanovic-Dolecek:
Zero-rotation-based nonrecursive comb structure. 797-800 - Shaikh Anowarul Fattah, M. M. I. Ullah, M. Ahmed, Istak Ahmmed, Celia Shahnaz:
A scheme for copy-move forgery detection in digital images based on 2D-DWT. 801-804 - Mohamed A. Abdelwahab, Moataz M. Abdelwahab:
Human action recognition based on two-view optical flow in the transformed domain. 805-808 - Yaser Mohammad Taheri, M. Omair Ahmad, M. N. S. Swamy:
Joint noise distribution parameter estimation and LDPC decoding using variational Bayes. 809-812 - Shan Cong, Maher E. Rizkalla, Eliza Y. Du, John D. West, Shannon L. Risacher, Andrew J. Saykin, Li Shen:
Building a surface atlas of hippocampal subfields from MRI scans using FreeSurfer, FIRST and SPHARM. 813-816 - Ramy C. G. Chehata, Wasfy B. Mikhael, George K. Atia:
A Transform Domain Modular approach for facial recognition using different representations and windowing techniques. 817-820 - Joseph S. Chang, Kwen-Siong Chong, Wei Shu, Tong Lin, Jize Jiang, Ne Kyaw Zwa Lwin, Yang Kang:
Radiation-hardened library cell template and its total ionizing dose (TID) delay characterization in 65nm CMOS process. 821-824 - Tong Ge, Joseph S. Chang, Tong Lin, Lei Zhang, Lim Geok Soon:
Fully-Additive printed electronics on flexible substrates: A Fully-Additive RFID tag. 825-828 - Makoto Takamiya, Hiroshi Fuketa, Koichi Ishida, Tomoyuki Yokota, Tsuyoshi Sekitani, Takao Someya, Takayasu Sakurai:
Flexible, large-area, and distributed organic electronics closely contacted with skin for healthcare applications. 829-832 - Ehsan Rohani, Jingwei Xu, Tiben Che, Mehnaz Rahman, Gwan Choi, Mi Lu:
Asynchronous baseband processor design for cooperative MIMO satellite communication. 833-836 - Peyman Ahmadi, Mohammad Hossein Taghavi, Leonid Belostotski, Arjuna Madanayake:
6-GHz all-pass-filter-based delay-and-sum beamformer in 130nm CMOS. 837-840 - Vahid Khojasteh Lazarjan, Khosrow Hajsadeghi:
12 bits, 40MS/s, low power pipelined SAR ADC. 841-844 - Lishan Lv, Qiang Li:
A low-power, CT sigma-delta modulator with a 2b/cycle SAR quantizer. 845-848 - Astria Nur Irfansyah, Long Pham, Andrew P. Nicholson, Torsten Lehmann, Julian Jenkins, Tara Julia Hamilton:
Nauta OTA in a second-order continuous-time delta-sigma modulator. 849-852 - Jin-Hua Hong, Zong-Yi Chen:
A low-power 10MHz bandwidth continuous-time ΣΔ ADC with Gm-C filter. 853-856 - Alfredo Lopez-Yunez, Diana Vasquez, Luis A. Palacio, Nikhil Tiwari, Vinay Kumar Suryadevara, Mobin Anandwala, Maher E. Rizkalla:
A novel approach for high speed wireless pre-fall detection multisensory system. 857-859 - Yin Zhou, Xiaolin Yang, Menglian Zhao, Xiaobo Wu:
A new noise estimation method for neural spike detection. 860-863 - Hatem Elgothamy, Mohamed A. Zohdy, Hoda S. Abdel-Aty-Zohdy:
Design and application of an enhanced GA. 864-867 - Ahmed Naguib Mohamed, Hesham N. Ahmed:
A low noise CMOS readout front end for MEMs BioPotential sensor applications. 868-871 - Hao Wang, Chiu-Sing Choy:
A novel high speed, low power IntraBody Communication Receiver Front End based on sampling rate boosting switched-capacitor filter. 872-875 - Samuel A. Gallegos, Hasina F. Huq:
A 128.7nW neural amplifier and Gm-C filter for EEG, using gm/ID methodology and a current reference without resistance. 876-880 - Guanglei An, Kanishka De, Cheng Hao, Rehan Ahmed, Chriswell Hutchens, Robert L. Rennaker:
An analog front-end circuit with spike detection for implantable neural recording system design. 881-884 - Farhad Alibeygi Parsan, J. Zhao, Scott C. Smith:
SCL design of a pipelined 8051 ALU. 885-888 - Nasibeh Nasiri, Oren Segal, Martin Margala:
Modified fused multiply-accumulate chained unit. 889-892 - Farshad Moradi, Jens Kargaard Madsen:
Robust subthreshold 7T-SRAM cell for low-power applications. 893-896 - Jordan Innocenti, Loic Welter, Franck Julien, Laurent Lopez, Jacques Sonzogni, Stephan Niel, Arnaud Régnier, Emmanuel Paire, Karen Labory, Eric Denis, Jean-Michel Portal, Pascal Masson:
Dynamic power reduction through process and design optimizations on CMOS 80 nm embedded non-volatile memories technology. 897-900 - Ahmed M. Al-Muraeb, Hoda S. Abdel-Aty-Zohdy:
Dual mode Digital Pulse Modulation (PWM & PFM) generator ASIC for Laser Electro-Optic Q-switching application. 901-904 - Mohamed Amine Boussadi, Thierry Tixier, Alexis Landrault, Jean-Pierre Dérutin:
A novel flexible 16-core MP-SoC architecture based on parallel skeletons for image processing applications. 905-908 - Joseph Whitehouse, Eugene John:
Leakage and delay analysis in FinFET array multiplier circuits. 909-912 - Kester Leochico, Eugene John:
Data retention voltage analysis of various low-power SRAM topologies. 913-916 - Cecilia García Martin, Erdal Oruklu:
Performance evaluation of FinFET pass-transistor full adders with BSIM-CMG model. 917-920 - Chen-Bo Hsu, James B. Kuo:
Power consumption optimization methodology (PCOM) for low-power/ low-voltage 32-bit microprocessor circuit design via MTCMOS. 921-924 - Mika Kutila, Ari Paasio, Teijo Lehtonen:
Comparison of 130 nm technology 6T and 8T SRAM cell designs for Near-Threshold operation. 925-928 - Navid Khoshavi, Rizwan A. Ashraf, Ronald F. DeMara:
Applicability of power-gating strategies for aging mitigation of CMOS logic paths. 929-932 - Aditya Rayankula, Paul M. Furth:
A CMOS active-pixel image sharpness sensor. 933-936 - Kameshwar Kaushik Chavali, Paul M. Furth, Punith R. Surkanti:
A low-voltage, adaptive CMOS centroid image sensor with improved bandwidth. 937-940 - Swetha S. George, Zeljko Ignjatovic:
An improved high speed low noise CMOS image sensor. 941-944 - Satomi Ogawa:
A CMOS interface for differential capacitive sensors using a time-to-digital converter. 945-948 - Li Xu, Junpeng Feng, Yuchi Ni, Marvin Onabajo:
Test signal generation for the calibration of analog front-end circuits in biopotential measurement applications. 949-952 - Shery Asaad Wahba Marzouk, Faisal A. Hussien, A. M. Shousha:
A 3dB NF 0.1-6.6GHz inductorless wideband low-noise amplifier in 0.13µm CMOS. 953-956 - László Szilágyi, Ronny Henker, Frank Ellinger:
An inductor-less ultra-compact transimpedance amplifier for 30 Gbps in 28 nm CMOS with high energy-efficiency. 957-960 - Amir Hossein Masnadi Shirazi, Reza Molavi, Peter Sangpil Woo, Ge Yu, Shahriar Mirabbasi, Sudip Shekhar, André Ivanov:
A low-power DC-to-27-GHz transimpedance amplifier in 0.13-µm CMOS using inductive-peaking and current-reuse techniques. 961-964 - Ran Ding, Zhe Xuan, Tom Baehr Jones, Michael Hochberg:
A 40-GHz bandwidth transimpedance amplifier with adjustable gain-peaking in 65-nm CMOS. 965-968 - Minghua Wang, Minglei Zhang, Xiaohua Fan, Yu Liu:
A power reduction technique for wideband common gate low noise amplifers. 969-972 - Bo Xia, Yue Wu, Li Kang, Xinlong Ma, Xiaodong Yang:
Practical design consideration in a Bluetooth tranceiver design. 973-976 - Harish Krishnaswamy, Karthik Tripurari, Yang Xu, Linxiao Zhang, David Gidony, Branislav Jovanovic, Peter R. Kinget:
RF channelizer architectures using Iterative Downconversion for concurrent or fast-switching spectrum analysis. 977-980 - Hojat Parta, Milos D. Ercegovac, Sudhakar Pamarti:
RF digital predistorter implementation using polynomial optimization. 981-984 - Nahid M. Hossain, Masud H. Chowdhury:
Graphene and CNT based flash memory: Impacts of scaling control and tunnel oxide thickness. 985-988 - Yibo Li, Wenbo Chen, Wenchao Lu, Rashmi Jha:
Impact of coupling capacitance on read operation of RRAM devices in 1D1R crossbar architectures. 989-992 - Linbin Chen, Fabrizio Lombardi, Jie Han:
An enhanced HSPICE macromodel of a PCM cell with threshold switching and recovery behavior. 993-996 - Benjamin Goodrich, Itamar Arel:
Unsupervised neuron selection for mitigating catastrophic forgetting in neural networks. 997-1000 - Wenchao Lu, Wenbo Chen, Yibo Li, Ahmed Kaake, Rashmi Jha:
A hardware-based approach for implementing biological visual cortex-inspired image learning and recognition. 1001-1004 - Bao Liu:
Input-aware statistical timing analysis for VLSI delay test and average design. 1005-1008 - Hector Vazquez-Leal, Brahim Benhammouda, Karem Boubaker, Yasir Khan, Uriel Filobello-Niño, Roberto Castañeda-Sheissa, R. Ruiz-Gomez:
Homotopy-based direct current analysis with formal stop criterion. 1009-1012 - Kai He, Sheldon X.-D. Tan, Esteban Tlelo-Cuautle, Hai Wang, He Tang:
A new segmentation-based GPU-accelerated sparse matrix-vector multiplication. 1013-1016 - Andrew N. Fisher, Satish Batchu, Kevin R. Jones, Dhanashree Kulkarni, Scott Little, David Walter, Chris J. Myers:
LEMA: A tool for the formal verification of digitally-intensive analog/mixed-signal circuits. 1017-1020 - Amrinder Singh, Jiang Hu:
Case studies on variation tolerant and low power design using planar asymmetric double gate transistor. 1021-1024 - Saiteja Damera, Aydin Ilker Karsilayan, José Silva-Martínez:
Design of minimally-invasive all-pole analog lowpass filters. 1025-1028 - Junjie Lu, Tan Yang, M. Shahriar Jahan, Jeremy Holleman:
A low-power 84-dB dynamic-range tunable Gm-C filter for bio-signal acquisition. 1029-1032 - Xin Meng, Gabor C. Temes:
Low-power duty-cycle tuned filters. 1033-1036 - Arunvenkatesh Alagappan, Sergio Soto Aguilar, Edgar Sánchez-Sinencio:
Reduced clock harmonic distortion technique in maximum tunable switched-R-MOSFET-C filters. 1037-1040 - Kainan Wang, Chun-hsiang Chang, Marvin Onabajo:
A fully-differential CMOS low-pass notch filter for biosignal measurement devices with high interference rejection. 1041-1044 - Xin Meng, Tao He, Yi Zhang, Gabor C. Temes:
Double-sampled wideband delta-sigma ADCs with shifted loop delays. 1045-1048 - Sudipta Sarkar, Yuan Zhou, Yun Chiu:
PN-assisted deterministic digital calibration of split two-step ADC to over 14-bit accuracy. 1049-1052 - Amit Kumar Gupta, Krishnaswamy Nagaraj, T. R. Viswanathan:
A 1.1mW, 63.7dB-SNDR, 10MHz-BW hybrid voltage -time domain ADC. 1053-1056 - Abdelrahman Elkafrawy, Jens Anders, Maurits Ortmanns:
A high resolution transimpedance amplifier for use in a 10-bit 200 MS/s current mode SAR ADC. 1057-1060 - Bibhudatta Sahoo:
An overview of digital calibration techniques for pipelined ADCs. 1061-1064 - Avhishek Chatterjee, Hua Wang, Abhijit Banerjee, Debashis Banerjee, Banerjee Natarajan, Shreyas Sen, Shyam Kumar Devarakond:
Design of self-healing mixed-signal/RF systems and support CAD tools: A scalable approach. 1065-1068 - Bruce C. Kim, Saikat Mondal, Friedrich Taenzler, Kenneth Moushegian:
A novel BIST technique for LDMOS drivers. 1069-1072 - Qihang Shi, Mohammad Tehranipoor, Xiaoxiao Wang, LeRoy Winemberg:
On-chip sensor selection for effective speed-binning. 1073-1076 - Dzmitry Maliuk, Yiorgos Makris:
On-chip intelligence: A pathway to self-testable, tunable, and trusted analog/RF ICs. 1077-1080 - Josep Altet, Eduardo Aldrete-Vidrio, Ferran Reverter, Didac Gómez, José Luis González, Marvin Onabajo, José Silva-Martínez, B. Martineau, X. Perpiñà, Louay Abdallah, Haralampos-G. D. Stratigopoulos, Xavier Aragonès, Xavier Jordà, Miquel Vellvehí, Stefan Dilhaire, Salvador Mir, Diego Mateo:
Review of temperature sensors as monitors for RF-MMW built-in testing and self-calibration schemes. 1081-1084 - Md. T. Islam, Celia Shahnaz, Shaikh Anowarul Fattah:
Speech enhancement based on a modified spectral subtraction method. 1085-1088 - Shaikh Anowarul Fattah, Abu Hasnat Mohammad Rubaiyat, M. M. Hassan:
An approach to vowel recognition using 2DDWT based visual information of the lip region. 1089-1092 - Celia Shahnaz, Sharifa Sultana:
A feature extraction scheme based on enhanced wavelet coefficients for Speech Emotion Recognition. 1093-1096 - Robert L. Brennan:
Low power algorithms for hearing aid and embedded applications. 1097-1100 - Warsame H. Ali, Mamatha Gowda, Penrose Cofie, John Fuller:
Design of a speed controller using Extended Kalman Filter for PMSM. 1101-1104 - Neeraj Magotra:
Designing an energy efficient Digital Signal Processing hearing aid system. 1105-1109 - Shashank Dabral, Sanmati Kamath, Vikram V. Appia, Mihir Mody, Buyue Zhang, Aziz Umit Batur:
Trends in camera based Automotive Driver Assistance Systems (ADAS). 1110-1115
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.