Friction
Friction
Nano Research
Vol. 5, No. 12, December 2012 ⅢI
ISSN 2223-7690
Vol. 1, No. 4, December 2013
Contents
Review
Scratch formation and its mechanism in chemical mechanical planarization (CMP) / 279–305
Tae-Young KWON, Manivannan RAMACHANDRAN, Jin-Goo PARK
Research Article
Mechanical and tribological properties of epoxy matrix composites modified with microencapsulated
mixture of wax lubricant and multi-walled carbon nanotubes / 341–349
Nay Win KHUN, He ZHANG, Jinglei YANG, Erjia LIU
Erratum
Abstract: Chemical mechanical planarization (CMP) has become one of the most critical processes in
semiconductor device fabrication to achieve global planarization. To achieve an efficient global planarization
for device node dimensions of less than 32 nm, a comprehensive understanding of the physical, chemical, and
tribo-mechanical/chemical action at the interface between the pad and wafer in the presence of a slurry medium is
essential. During the CMP process, some issues such as film delamination, scratching, dishing, erosion, and
corrosion can generate defects which can adversely affect the yield and reliability. In this article, an overview of
material removal mechanism of CMP process, investigation of the scratch formation behavior based on polishing
process conditions and consumables, scratch formation mechanism and the scratch inspection tools were
extensively reviewed. The advantages of adopting the filtration unit and the jet spraying of water to reduce the
scratch formation have been reviewed. The current research trends in the scratch formation, based on modeling
perspective were also discussed.
Keywords: Chemical mechanical planarization (CMP); defects, scratch; post-CMP cleaning; defect source
Table 1 Advantages of the CMP process (Reproduced from Ref. [1], with permission from Elsevier).
Advantages Remarks
Planarization Achieves global planarization
Planarize different materials Wide range of wafer surfaces can be planarized
Planarize multimaterial surfaces Useful for planarizing multiple materials during the same polishing step
Reduces severe topography to allow fabrication with tighter design rules and additional
Reduce severe topography
interconnection levels
Provides an alternate means of patterning metal, eliminating the need to plasma etch,
Alternative method of metal patterning
difficult to etch metals and alloys
Improved metal step coverage Improves metal step coverage due to reduction in topography
Increased IC reliability Contributes to increasing IC reliability, speed, yield (lower defect density)
Reduced defects CMP is a subtractive process and can remove surface defects
No hazardous gases Does not use hazardous gas, which is common in dry etch process
Friction 1(4): 279–305 (2013) 281
for delivery of the slurry [14]. Hence, the mechanisms Removal rate has a non-zero intercept at both zero
for CMP are lubrication behavior and abrasion, such velocity and pressure and has a greater dependence on
as direct contact between the wafer and polishing the velocity compared to the pressure. Thus, Luo et al.
pad (two body abrasion) and contact between the [18] proposed a modified Preston equation as follows:
wafer, the pad, and the abrasive in the presence of a
MRR K(P P0 )(V V0 ) or MRR
slurry film occurring in the asperity region (three body (2)
abrasion) [14]. The role of the diamond conditioner is KPV aP bV Rc
to excise the pad surface in order to maintain its
where, P0, V0, a, b, and Rc are constants. However,
roughness against the plastic deformation and to
Eq. (2) predicts that the removal rate increases with
prevent glazing due to the accumulation of polishing
the pressure even at zero velocity, which was not
residues in the pad pores [8, 15, 16]. This review article
consistent with their experimental data.
is divided into the following sections: Section 2,
The final, modified form of the equation, according
modeling of CMP; Section 3, scratch issues in CMP
to Luo et al. [18] is given as follows
process; Section 4, scratch inspection tools; Section 5,
scratch formation source; and Section 6, scratch MRR= (KP B)V Rc (3)
formation mechanism. This review focuses on the
where K, B, and Rc are constants and were obtained
latest developments and current status of research
by a least squares procedure. The Preston coefficient
on CMP scratches and possible solution to avoid the
and other constants can be obtained from experimental
scratches and outline the scopes for future research.
data.
Cook [19] developed a MRR model based on
2 Modeling of chemical mechanical Hertzian elastic penetration of a spherical particle
planarization with pressure in which the interaction between the
abrasive particle and wafer surface occurs. Also, Liu
The mechanism of CMP based on the mechanical et al. [20] proposed a model which is based on a
interactions between the wafer, pad, and abrasive statistical method and elastic theory to describe the
particle has been studied by several groups. The MRR mechanism of silicon wafer surface during
most fundamental and basic material removal model the CMP process. In this model, the parameters of
in CMP is the Preston model, which is applicable for removal rate are hardness of wafer film and pad, and
glass polishing [17]. This equation states that the Young’s modulus of abrasive and film material. The
material removal rate (MRR) is directly proportional advantage of Cook’s and Liu’s MRR model, based on
to the pressure and relative velocity as follows: Hertzian contact, is the importance given to the role
MRR Kp P V (1) and interactions of the consumable.
Runnels [21] proposed a model by considering the
where MRR is the material removal rate in m/min, P slurry fluid film. The importance of wafer curvature,
is the down pressure in N/m2, V is the relative velocity slurry viscosity, and thickness of the fluid film was
between the pad and wafer in m/min and Kp is the described in the model. The stresses induced by the
Preston coefficient in m2/N. The Preston coefficient flowing slurry on feature surfaces were computed and
depends on various factors that can affect the removal used in erosion models that empirically incorporated
rate such as friction force, chemical reaction, heating the fracture mechanics and chemistry. Tseng and
and so on. This is an empirical equation for under- Wang [22] proposed a MRR model for the CMP
standing mechanical action during the CMP process, process through the combination of solid and fluid
which shows the linear dependency. mechanics. This model is given by MRR = MP 5/6V 1/2 ,
However, MRR is not zero for some materials, even where M is a constant associated with material pro-
when P and V are zero. Such behavior is most com- perties such as abrasive concentration and chemical
monly seen in metal CMP. Hence, a modified Preston’s processes during CMP. Also, this model was obtained
equation was proposed based on the Cu CMP [18]. using a non-linear relationship between the material
282 Friction 1(4): 279–305 (2013)
Fig. 6 Various scratches formed in Cu CMP [33]. In the CMP process, several possible reasons for scratch
formation have been proposed in the literature [43−74]
and can be broadly classified into process conditions with a POU filter. Based on their results, the defects
(down pressure, velocity, etc.) based scratches and were remarkably reduced after installation of the POU
consumables (slurries include abrasive particles, pads, filter. Also, they showed that the slurry filter plays an
conditioners, etc) based scratches. CMP consumables important role in the determination of pad lifetime.
can cause surface scratches due to particle agglomera- The effect of a high spray bar (HSB) method, i.e.,
tion, release of diamonds from the conditioner, or pad de-ionized water (DIW) with high pressure during
debris. Several reports discussing the effects of these CMP was evaluated. High spray bar can prevent the
factors on scratch formation have been published accumulation of large particles on the pad. As a result,
[44−74]. Lin et al. [75] evaluated the number of scratches the defect density was significantly reduced when
formed during CMP on various film surfaces in the compared with an un-installed high spray method.
manufacturing of DRAM devices. The micro-scratch Figure 9 [43] shows the defect density trend obtained
number on the SiN cap layer was much lower, which with and without a high pressure DI water spray bar
might be due to the higher hardness. Also, they during CMP.
optimized the film thickness of filled oxide and SiN Teo et al. [44] characterized the scratches generated
cap layer to reduce micro-scratches, based on the during Cu CMP as a function of process pressure
difference in material hardness. and velocity with different abrasive particles. In their
Typically, a CMP process consists of chemical results, scratches generated on the Cu surface were
and mechanical interactions between the wafer and classified into two types, long scratches and triangular
polishing pad with a slurry. The mechanical action scratches. A likely cause for a long scratch is that
is attributed to the abrasive particle and polishing abrasive particles become embedded in the polishing
pad interactions. Hence, scratches resulting from pad during the polishing process. On the other hand,
mechanical polishing are inevitable. The abrasive a possible cause for triangle scratches could be due to
particle size distribution influences the number and freely suspended abrasive particles being driven onto
size of the active abrasives [76]. Seo and Kim focused the Cu surface. Also, it was found that deeper scratches
on micro-scratch generation caused by agglomerated were detected when larger and harder abrasive particles,
particles, which are solidified and attached in the like alumina particles, were used for Cu CMP.
pipeline of a slurry supply system [30, 40, 43]. They Also, it was noted that the occurrence of scratches
evaluated the effect of abrasive particle size distribu- can increase due to the agglomeration of the abrasive
tion and controlled the large particle concentration by particles. Flushing the stagnant slurry in the slurry pipe
installing a point of use (POU) slurry filter. Figure 7
line might remove the agglomerated abrasive particles.
shows a schematic diagram of the CMP tool with a
For example, the flushing procedure effectively reduced
POU filter. Figure 8 shows a comparison of defect
scratch generation (Fig. 10).
densities as a function of number of wafers polished
Ahn et al. [45] evaluated the surface roughness of Al
after CMP performed using the optimum conditions
of a silica based slurry and compared these with the
conventional alumina based slurry. The agglomeration
of particles induced by zeta-potential and oxide layer
thickness of Al, which are a function of pH, could
also affect the surface roughness. Also, the surface
roughness of Al increased with an increase in abrasive
concentration. The reason for this seems to be that
friction was more severe at high abrasive concentrations.
Kim et al. [46] focused on controlling the agglomeration
of ceria particles using the organic additives and pH
Fig. 7 Schematic diagram of the CMP tool with a POU filter adjusters to reduce micro-scratches. Remsen et al. [47]
and high pressure spray bar (HSB) of DI water [30].
used a dual-sensor single particle optical sensing (SPOS)
286 Friction 1(4): 279–305 (2013)
Fig. 8 Defect density as a function of polished wafer counts (a) without filter and (b) with 0.5 μm filter [30].
Fig. 9 Defect density trend (a) with pre-wet flow rate of 700 ml/min and without the high spray bar of DI water and (b) pre-wet flow
rate of 200 ml/min and high spray bar of DI water (Reproduced from Ref. [43], with permission from Elsevier).
Fig. 14 Optical microscopy images of silicon dioxide films polished with slurry containing (a) 0.5 wt% composite particles, (b) 0.5 wt%
CeO2 nanoparticles, and (c) 0.25 wt% CeO2 nanoparticles (Reproduced from Ref. [48], with permission from Elsevier).
Fig. 15 SEM image of alumina particles (a) before and (b) after coating (Reproduced from Ref. [51], with permission from Elsevier).
Fig. 16 Optical microscope images of disk substrates polished in slurries containing different abrasives (a) before polishing (200×),
(b) polished using pure alumina slurry (200×) and (c) polished using composite abrasive (with 10 wt% coating) slurry (200×) (Reproduced
from Ref. [51], with permission from Elsevier).
process of soft materials due to the cushion-like effect oxide thickness loss after 1 min of CMP using different
arising from the elastic properties of the core, which abrasive particles. For the silica abrasive, thickness
allow the composites to easily adapt to the pad loss decreased with increasing particle size. In the case
asperities (Fig. 17). of two composite particles, total defect counts were
Oxide removal rate and scratch generation were different. Composite B particles are spherical in shape
evaluated using four types of abrasive particles (30 and are more similar to the colloidal silica particle.
and 90 nm colloidal silica particles, 350 nm polymer Also, the larger size of colloidal silica shows a higher
particles, composite A and B). Figure 18 shows the number of defects level than the smaller size of
Friction 1(4): 279–305 (2013) 289
Fig. 18 (a) Thickness loss vs. abrasive type and (b) total defect Fig. 19 Schematic illustration of the slurry distribution system
count vs. abrasive type after oxide CMP at pH 10 [52]. [55].
290 Friction 1(4): 279–305 (2013)
which considered the shear flow and the electrostatic are greater than the repulsive inter-particle force,
interaction between particles. It was assumed that particle agglomeration occurs. The degree of particle
particle collisions were binary and proportional to the agglomeration is influenced by the slurry properties
particle concentration. Chang et al. [55] simulated the (e.g., interparticle forces), external shear stress (i.e.,
aggregation rate of k-fold aggregates, dNk/dt, which type of pump), and the number of turnovers of the
is given by the time evolution of the cluster size slurry. They found that a magnetically levitated
aggregates, i and j-fold. centrifugal pump resulted in lower stress effects on
particle agglomeration and did not increase the
l k -1
dN k 1
dt
2
(k
i jk
ij /Wi j )N i N j N k (kki /Wki )N i
k 1
(4) concentration of oversized particles, as shown in
Fig. 20 [55]. Also, the defectivity was evaluated using
the low-k dielectric CMP. Optical microscopy images
4 of the low-k dielectric film are shown in Fig. 21.
kij G( ai a j ) (5)
3
5.2 Pad surface properties and pad debris
where the aggregation constant, kij, is a function of
the shear rate (G) and particle size (a). The stability CMP is a complex interaction process between the
ratio (W) is the ratio of the rapid aggregation rate wafer surface and the consumables. The CMP polishing
without electrostatic interaction to the slow aggregation pad is an important consumable among all other
rate in the presence of electrostatic interactions consumables, and has a dominating effect on the
between particles. According to this model, the material removal rate [59]. The structure and material
shear flow causes particles to approach each other properties determine the material removal rate and
during slurry delivery. When van der Waals forces planarization ability [1, 60]. Usually, the polishing
Fig. 20 Cumulative concentration vs. particle size at 0, 250, and 500 turnovers for (a) bellows, (b) diaphragm, and (c) magnetically
levitated centrifugal pump system (Reprinted with permission from Ref. [55]. Copyright 2009, The Electrochemical Society).
Fig. 21 Optical microscopy images of BD1 wafers polished by circulated slurries using (a) bellows, (b) diaphragm and (c) magnetically
levitated centrifugal pump system (Reprinted with permission from Ref. [55]. Copyright 2009, The Electrochemical Society).
Friction 1(4): 279–305 (2013) 291
pad contains both pores and grooves, which help for ratio (percentage of scratches/defective die, i.e., the
better planarization [61, 62]. The pores of a pad act number of scratches formed on 100 defective dies) and
as a lake, store the slurry particles, and enhance the removal rate during the STI CMP process. Scratch
contact time between slurry particles and the wafer. formation was found to be higher in the contact regime
Grooves provide a channel for efficient and uniform and lower in the lubricating regime. The contact regime
slurry distribution across the pad surface to the wafer exists when the pad contains only grooves [63], and
surface. These parameters determine the slurry tran- the lubricating regime exists when the pad contains
sportation and contact area at the pad/wafer interface pores [64]. Optimum conditions were obtained in the
[1, 5, 7, 59, 62]. Choi et al. [62] studied the synergistic presence of a lubricating regime with fewer scratch
role of pores and grooves of a pad in forming the sources present on the pad [62]. Also, the presence of
scratches (especially chatter mark scratches) using grooves helps to discharge most of the scratch sources
three types of pads. Pad with only pores, only grooves, generated during the process away from the wafer–
and both pores and grooves were investigated to pad contact [65].
understand its effect on scratch formation. Figure 22 Both the structure of polishing pads, such as pores
shows the SEM images of scratch shapes formed on and grooves, and the hardness of the pad affect the
the STI patterned wafers polished using three types MRR and generate the scratches. Hsien et al. [66]
of pads. Different types of pads generated different reported scratch generation by comparing the hard
types of scratches. Pad-3 (containing both pores and and soft pads. It was reported that the soft pad with
grooves) generated short chatter mark-shaped scratches lower pressure generated fewer scratches [66, 67].
compared with the other types of pads. Furthermore, Eusner et al. [68] quantitatively analyzed
Figure 23 shows the effect of pad type on scratch the topography and material properties of fresh and
Fig. 22 SEM images of scratches formed on STI-patterned wafers after CMP using pads with (a) only grooves (pad-1), (b) only pores (pad-2),
and (c) pores and grooves (pad-3) [62].
Fig. 23 (a) Scratch ratio on the STI-patterned wafer, and (b) MRR of blanket oxide wafer with ceria slurry as a function of pad type [63].
292 Friction 1(4): 279–305 (2013)
Fig. 27 SEM image and EDX analysis of (a) fresh pad, (b) pad
debris with only DI water, and (c) pad debris with silica slurry [72].
Fig. 26 The effect of diamond size on (a) removal rate and (b)
scratch generation (Reproduced from Ref. [70], with permission
from Elsevier).
to understand the surface damage caused during and particles impurities, which were not spherical
CMP. The resulting failure was predicted by various but angular in shape. Also, the distribution of radii of
mechanical wear (or scratching) equations depending curvature for the point of the impurity particle in
upon the assumption of plastic deformation or brittle contact with the wafer surface was considered. Hence,
fracture (Fig. 34). The wear rate goes from reasonably the plastic deformation scratch depth is given by
low rates for plastic wear to rates with higher orders of
L E
12
b N 2 cot
13
magnitude for brittle fracture. The wear rate transition (9)
occurs at a threshold normal load, i.e., H
Here, E’ is the relative modulus of elasticity and φ is
LNc ~ 2 10 5 KIc4 H 3 (6)
the angle between opposite edges of the indenter. The
where H is the hardness of the surface being damaged depth of the radial cracks, gives the scratch depth for
and KIc is its fracture toughness. In the case of plastic brittle fracture as follows:
deformation, the differential volume, dV, of material 23
E 1 2 L 23
removed per unit length, dx, of the scratch depends c CR r N cot (10)
upon the load of the abrasive point normal to the H KIc
surface, LN, and the mechanical properties of the where r is a dimensionless constant. There is a transi-
materials comprising the surface as follows: tion between plastic and brittle fracture scratching
dV dx ~(LN H ) (7) that takes place as the load is increased. Therefore,
when the load on an impurity is less than LNc, plastic
This equation assumes that the abrasive point is harder deformation will take place; when the load on an
than the material comprising the surface. In the case impurity particle is greater than LNc, brittle fracture
of brittle fracture, the fracture wear rate could be will take place.
represented as follows: Particle impurities are forced by pad asperities to
be in contact with the wafer surface. The asperities
dV dx ~(E H )4 5 KIc-1 2 H -5 8 L9N8 (8)
press the impurity particles into the wafer surface,
where E is Young’s modulus. Ring et al. considered creating a normal load that allows the depth of the
each of these scratching particles to be attached to the surface damage to be predicted using Greenwood and
tip of an asperity or, if larger than an asperity, to be Williamson’s [82, 83] and Yu’s theories [26]. Figure 35
pressed into the pad to determine the depth distri- shows the size distribution of scratches produced
bution of the scratches due to both abrasive particles by the impurity particles. The deepest scratches were
formed by the large impurity particles and the po-
pulation of scratches decreased as the scratch depth
increases for a given size of particle impurities.
Saka et al. [84] estimated the scratch formation at
lower and upper-bound loads based on contact
mechanics models. Additionally, the width and depth
of scratches are dependent on process parameters
such as particle size, abrasive volume fraction, and
mechanical and geometric properties of the pad and
surface coatings. In their study, interactions between
the Al2O3 abrasive particles and the Cu/low-k surface
were described. They assumed that the Young’s
modulus and hardness of abrasive particles are greater
Fig. 34 Schematic of (a) plastic deformation and (b) brittle than the coated films. Particles were assumed to be
fracture (Reprinted with permission from Ref. [29]. Copyright spherical and rigid with smooth and sufficiently thick.
2007, The Electrochemical Society). The radius of the contact on the coated film at yield
Friction 1(4): 279–305 (2013) 297
RUB RUB
Hc (14)
A π 2
ac
2
where PUB is the applied load, A is the projected con-
tact area, and ac is the semi-width of a scratch. Based on
the geometry of the scratch, the relation between the
depth of the scratch (δc) and the semi-width is given by
Fig. 35 Size distribution of scratches produced in (a) ILD and
(b) copper by particle impurities (Reprinted with permission from
c
2
1 ac
Ref. [29]. Copyright 2007, The Electrochemical Society). c ac (15)
R 2 R
(aY,c), the depth of the indentation in the film at yield
load (δY,c), the yield load (PY,c) as a function of the
particle radius (R) and the mechanical properties of the
coating were represented based on Hertzian analysis
and the Tresca criterion for yielding as follows [84−86]:
π Hc
aY,c R (11)
4 Ec
π 2 H c2
Y,c R (12)
16 Ec2
π 3 H c3 2
PY,c R (13)
48 Ec2
For a fully plastic contact, the semi-width and Chandra et al. [89] proposed a multi-scale model
the depth of a scratch, and the upper-bound load, encompassing the pad response and slurry behavior
respectively, are to predict the scratch propensity in CMP. The pad
response delineates the interplay between the local
12
2P particle-level deformation and the cell-level bending
ac UB (16)
πH c of the pad. Although the agglomeration process is
traditionally classified into two separate regimes,
PUB diffusion-limited agglomeration (DLA) and reaction-
c (17)
πRH c limited agglomeration (RLA), DLA occurs near the
iso-electric point of the slurry particles, while RLA
PUB π c RH c c ac ≪ R (18) occurs when the pH of the slurry is away from the
iso-electric point [89]. For the general case, the
Figure 38 shows the normalized experimental load agglomeration process can be modeled using the
versus the normalized scratch depth. The solid line Smoluchowski rate equation [89, 90], which gives the
represents the normalized upper-bound load. Therefore, time rate of change of the number of particle clusters
all the points on the graph should be below the line of with volume M, N(M), as follows:
the upper bound load according to Eq. (18). In Fig. 38,
d 1 M -1
all the points were below the solid line; therefore, the N ( M ) a (M , K )N (M -K )N(K )
load per particle can be related to the scratch width dt 2 K 1
(19)
and depth, according to Eq. (18). Based on the above a (M ,K )N (M )N (K )
modeling and experimental results, multi-particle K 1
contact behavior and the effect of pad asperity geometry The agglomeration kernel, a(M,K), is the rate at which
for the initiation of scratches were analyzed [84, 88]. clusters of volume M agglomerate with particles of
The various regimes of scratching by polishing pads volume K. It has been shown that most agglomeration
in CMP have been delineated by contact mechanics results from smaller particles sticking themselves onto
based theoretical. a larger cluster [91]. The spatial distribution of the
MRR is also affected by pad wear, which takes place
mainly at the asperity level. The probability density
function of the asperity height z at any time t is given
as follows:
4C E* s
d
dt
(z ,t ) a
3π z
z-d(t ) (z ,t ) (20)
E* s
W (i , j) z-d(t )X(i , j ) (21)
Hπ
Fig. 38 Normalized experimental load versus the normalized
scratch depth (Reproduced from Ref. [84], with permission from Using the above equations, the cumulative density
Elsevier). function of the scratch depth can be calculated. The
Friction 1(4): 279–305 (2013) 299
probability per active particle, P(W ω), a scratch of the probability density of scratch depth, which was
depth W, which is less than a prescribed threshold ω, simulated from the proposed equations. It was
will be created and is given by observed that the scratch depth increased while scratch
frequency decreased for harder pads as well as for
Xmax w2 H 2
P(W ≤ w) f z (z)f x (x)dzdx (22) softer wafer surfaces.
0 0
Typically, chatter mark-type scratches, which have
The model predictions were compared with the a repetitive C-shaped crack, were generated in inter-
experimental results in Fig. 39. The maximum scratch level dielectric (ILD) materials (Fig. 40). In this image,
depth predicted by the model was much lower. This the cracks are larger at one end and smaller at the other
discrepancy was thought to be caused by inaccuracies end of the repetitive line. Furthermore, the repetitive
in the assumed initial particle distribution in the slurry. C-shaped surface showed damage that is tens of nm
This might be due to the contamination of the slurry deep with some individual cracks that were deeper
with a very low percentage of relatively large particles. than others, in atomic force microscope (AFM) images.
Additionally, the model was adopted as a function Ring et al. [29] explained this phenomenon based on
of pad modulus and wafer surface hardness. The bouncing particle model. The springiness of the pad
scratch depth was affected by pad modulus, and hence causes the particle to bounce against the wafer surface.
Bouncing may be initiated by a particle impurity that
is sliding across the surface of the wafer. After the first
bounce, the particles have sufficient force to indent
the surface of the wafer. This force is supplied by
the elastic properties of the pad when the particle is
pushed into it and then rebounds. The frequency of
bounces can be determined by the simple physics of a
mass (the particle) on a spring (the pad). The governing
equation is given by
d2 x
F k1 x m (23)
dt 2
Fig. 40 Chatter surface damage showing repetitive, 40-nm-deep indentations in the wafer surface (Reprinted with permission from
Ref. [29]. Copyright 2007, The Electrochemical Society).
300 Friction 1(4): 279–305 (2013)
solution to the above equation is given by spring constant k of the model connecting the step
motor (moving at a constant speed V0) to the slider
x Asin( t 0 ) (24) can be obtained from the slope of the horizontal force
versus time curve (Fig. 42) during the sticking stage.
where A is the amplitude, which is given by
The total mass of the slider and sample is m. L is the
normal load applied to the specimen and x is the real
2
Fig. 41 A simple model of the scratch system (Reproduced from Fig. 42 The horizontal force measured by the load cell, k(V0t–x)
Ref. [94], with permission from Elsevier). (Reproduced from Ref. [94], with permission from Elsevier).
Friction 1(4): 279–305 (2013) 301
was predicted by controlling the applied velocity to permits any use, distribution, and reproduction in any
characterize the chatter scratch formation. Thus, the medium, provided the original author(s) and source
particle position from the starting point increased are credited.
with increased oscillatory motion and sliding time
(or distance).
References
[1] Zantye P B, Kumar A, Sikder A K. Chemical mechanical
7 Concluding Remarks
planarization for microelectronics applications. Mater Sci
CMP is a unique technology in the fabrication of Eng R Rep 45(3−6): 89−220 (2004)
semiconductor devices. Also, CMP is very important [2] DeJulie R. CMP grows in sophistication. Semiconductor
in achieving the uniform global planarity without any International 21(13): 56−80 (1998)
defects. Several models were introduced to understand [3] Oliver M R. Chemical-Mechanical Planarization of Semicon-
the material removal rate based on the Preston equation. ductor Materials. Berlin (Germany): Springer, 2004.
However, several defects, such as particle adhesion, [4] Fury M A. The early days of CMP. Solid State Technol 40:
deposition of slurry residue, scratching, and pitting, 81 (1997)
occur on the surface. Among these, the most detrimental [5] Steigerwald J M, Murarka S P, Gutmann R J. Chemical
defects were found to be scratches, as these directly Mechanical Planarization of Microelectronic Materials.
affect the yield and potential reliability of the devices. New York (USA): John Wiley & Sons, 1997.
In this review, various scratch detection methods as [6] Ali I, Roy S R, Shinn G. Chemical mechanical polishing of
interlayer dielectric: A review. Solid State Technol 10:
well as sources of scratch formation were described in
63−70 (1994)
detail. Typically, scratches are generated by byproducts
[7] Li Y. Microelectronic Applications of Chemical Mechanical
such as large particles and agglomerated particles in
Planarization. New Jersey (USA): John Wiley Sons, 2007.
a slurry, and pad debris with abrasion between the
[8] Liang H, Kaufman F, Sevilla R, Anjur S. Wear phenomena in
wafer and pad in the slurry. Filtration was introduced
chemical mechanical polishing. Wear 211(2): 271−279 (1997)
to reduce or to control the large particles and
[9] Palla B J, Shah D O. Correlation of observed stability and
agglomerated particles in the slurry. Additionally, the
polishing performance to abrasive particle size for CMP. In
effect of abrasive particle type, size, hardness and
Proceedings of the IEEE/CPMT International Electronics
surface modification methods were discussed. Particle
Manufacturing Technology (IEMT) Symposium, 1999: 362−
agglomeration behavior during slurry circulation in
369.
the slurry supply system and its effect on scratch
[10] Luo J, Dornfeld D A. Effects of abrasive size distribution in
formation were discussed. The polishing pad is a
chemical mechanical planarization: Modeling and verification.
main consumable in the CMP process. The effect of IEEE T Semiconduct M 16(3): 469−476 (2003)
pad groove and pores for MRR and scratch formation [11] Gokhale K S, Moudgil B M. Particle technology in chemical
was explained and new pads were introduced with mechanical planarization. KONA 25: 88−96 (2007)
various diamond conditioner types. As a result of [12] Zhao B, Shi F G. Chemical mechanical polishing: Threshold
pad conditioning, pad debris can be generated, which pressure and mechanism. Electrochem Solid-State Lett 2(3):
is adsorbed with the abrasive particles, and can 145−147 (1999)
therefore also act as a scratch generation source. Lastly, [13] Basim G B, Adler J J, Mahajan U, Singh R K, Moudgil B
the scratch formation mechanism and experimental M. Effect of particle size of chemical mechanical polishing
results based on basic contact theory and fracture slurries for enhanced polishing with minimal defects. J
mechanisms were discussed, and the particle bouncing Electrochem Soc 147(9): 3523−3528 (2000)
model and stick-slip models for chatter mark scratch [14] Inaba S, Katsuyama T, Tanaka M. Study of CMP polishing
formation, the main scratch type, were reviewed. pad control method. In 1998 Proceedings of the Third
International Chemical-Mechanical Planarization for VLSI
Open Access: This article is distributed under the terms Multilevel Interconnection Conference (CMP-MIC), 1998:
of the Creative Commons Attribution License which 44−51.
302 Friction 1(4): 279–305 (2013)
challenges for ULSI manufacturing. In AIP Conference [55] Chang F C, Tanawade S, Singh R K. Effects of stress-induced
Proceedings, Gaithersburg, Maryland, USA, 1998: 405. particle agglomeration on defectivity during CMP of low-k
[43] Seo Y J, Kim S Y, Lee W S. Advantages of point of use dielectrics. J Electrochem Soc 156(1): H39−H42 (2009)
(POU) slurry filter and high spray method for reduction of [56] Yi C, Tsai C F, Wang J F. Stabilization of slurry used in
CMP process defects. Microelectron Eng 70: 1−6 (2003) chemical mechanical polishing of semiconductor wafers by
[44] Teo T Y, Goh W L, Leong L S, Lim V S K, Tse T Y, Chan adjustment of pH of deionized water. U.S. Patent 6 130 163,
L. Characterization and reduction of copper chemical Oct. 2000.
mechanical polishing induced scratches. In Proc. SPIE 5041, [57] Chang F C, Singh R K. Method for quantifying the degree of
Process and Materials Characterization and Diagnostics in agglomeration in highly stable chemical mechanical polishing
IC Manufacturing, Santa Clara, CA, USA, 2003: 61−69. slurries. Electrochem Solid-State Lett 12(4): H127−H130
[45] Ahn Y, Yoon J Y, Baek C W, Kim Y K. Chemical mechanical (2009)
polishing by colloidal silica-based slurry for micro-scratch [58] Donis R, Fisher M, Bauck L. Effect of slurry distribution
reduction. Wear 257(7−8): 785−789 (2004) using diaphragm and centrifugal pupms on the defectivity
[46] Kim D H, Kang H G, Kim S K, Paik U, Park J G. in a Cu CMP process. ECS Trans 25(38): 47−54 (2010)
Agglomerated large particles under various slurry preparation [59] Hooper B J, Byrne G, Galligan S. Pad conditioning in
conditions and their influence on shallow trench isolation chemical mechanical polishing. J Mater Process Tech 123(1):
chemical mechanical polishing. Jpn J Appl Phys Part 1 107−113 (2002)
44(11): 7770−7776 (2005) [60] Landis H, Burke P, Cote W, Hill W, Hoffman C, Kaanta C,
[47] Remsen E E, Anjur S, Boldridge D, Kamiti M, Li S, Johns Koburger C, Lange W, Leach M, Luce S. Integration of
T, Dowell C, Kasthurirangan J, Feeney P. Analysis of large chemical-mechanical polishing into CMOS integrated circuit
particle count in fumed silica slurries and Its Correlation manufacturing. Thin Solid Films 220(1−2): 1−7 (1992)
with scratch defects generated by CMP. J Electrochem Soc [61] Lee W J, Park H S, Shin H C. Enhancement of CMP pad
153(5): G453−G461 (2006) lifetime for shallow trench isolation process using profile
[48] Coutinho C A, Mudhivarthi S R, Kumar A, Gupta V K. Novel simulation. Curr Appl Phys 9(1): S134−S137 (2009)
ceria-polymer microcomposites for chemical mechanical [62] Choi J G, Prasad Y N, Kim I K, Kim W J, Park J G. The
polishing. Appl Surf Sci 255(5 Part 2): 3090−3096 (2008) synergetic role of pores and grooves of the pad on the
[49] Jindal A, Hegde S, Babu S V. Chemical mechanical polishing scratch formation during STI CMP. J Electrochem Soc
using mixed abrasive slurries. Electrochem Solid-State Lett 157(8): H806−H809 (2010)
5(7): G48−G50 (2002) [63] Wang Y C, Yang T S. Effects of pad grooves on chemical
[50] Wrschka P, Hernandez J, Oehrlein G S, Negrych J A, Haag mechanical planarization. J Electrochem Soc 154(6): H486−
G, Rau P, Currie J E. Development of a slurry employing H494 (2007)
a unique silica abrasive for the CMP of Cu damascene [64] Thakurta D G, Borst C L, Schwendeman D W, Gutmann R J,
structures. J Electrochem Soc 148(6): G321–G325 (2001) Gill W N. Pad porosity, compressibility and slurry delivery
[51] Lei H, Zhang P. Preparation of alumina/silica core-shell effects in chemical-mechanical planarization: Modeling and
abrasives and their CMP behavior. Appl Surf Sci 253(21): experiments. Thin Solid Films 366(1−2): 181−190 (2000)
8754−8761 (2007) [65] Rosales-Yeomans D, Doi T, Kinoshita M, Suzuki T,
[52] Armini S, Whelan C M, Maex K, Hernandez J L, Moinpour Philipossian A. Effect of pad groove designs on the frictional
M. Composite polymer-core silica-shell abrasive particles and removal rate characteristics of ILD CMP. J Electrochem
during oxide CMP: A defectivity study. J Electrochem Soc Soc 152(1): G62−G67 (2005)
154(8): H667−H671 (2007) [66] Hsien Y H, Hsu H K, Tsai T C, Lin W, Huang R P, Chen C H,
[53] Armini S, Burtovyy R, Moinpour M, Luzinov I, De Yang C L, Wu J Y. Process development of high-k metal gate
Messemaeker J, Whelan C M, Maex K. Interaction forces aluminum CMP at 28 nm technology node. Microelectron
between a glass surface and ceria modified PMMA based Eng 92: 19−23 (2012)
abrasives for CMP measured by colloidal probe AFM. J [67] Choi J G, Prasad Y N, Kim I K, Kim I G, Kim W J,
Electrochem Soc 155(4): H218−H223 (2008) Busnaina A A, Park J G. Analysis of scratches formed on
[54] Armini S, Whelan C M, Moinpour M, Maex K. Composite oxide surface during chemical mechanical planarization. J
polymer core-silica shell abrasives: The effect of the shape Electrochem Soc 157(2): H186−H191 (2010)
of the silica particles on oxide CMP. J Electrochem Soc [68] Eusner T, Saka N, Chun J H. Breaking-in a pad for
155(6): H401−H406 (2008) scratch-free, Cu chemical-mechanical polishing. J Electrochem
304 Friction 1(4): 279–305 (2013)
Soc 158(4): H379−H389 (2011) Materials. London (UK): Butterworth-Heinemann Ltd., 1992.
[69] Tsai M Y, Chen W K. Effect of CMP conditioner diamond [81] Suratwala T, Steele R, Feit M D, Wong L, Miller P,
shape on pad topography and oxide wafer performances. Int Menapace J, Davis P. Effect of rogue particles on the sub-
J Adv Manuf Tech 55(1−4): 253−262 (2011) surface damage of fused silica during grinding/polishing.
[70] Yang J C, Choi J H, Hwang, Lee C G, Kim T. Effects of J Non-cryst Solids 354(18): 2023−2037 (2008)
diamond size of CMP conditioner on wafer removal rates and [82] Greenwood J A. The area of contact between rough surfaces
defects for solid (non-porous) CMP pad with micro-holes. and flats. J Lubr Technol Trans ASME 89: 81 (1967)
Int J Mach Tool Manu 50(10): 860−868 (2010) [83] Greenwood J A, Williamson J B P. Contact of nominally flat
[71] Yang J C, Kim H, Lee C G, Lee H D, Kim T. Optimization surfaces. Proc R Soc Lond A 295(1442): 300−319 (1966)
of CMP pad surface by laser induced micro hole. J [84] Saka N, Eusner T, Chun J H. Nano-scale scratching in
Electrochem Soc 158(1): H15−H20 (2011) chemical-mechanical polishing. CIRP Ann Manuf Technol
[72] Prasad Y N, Kwon T Y, Kim I K, Kim I G, Park J G. 57(1): 341−344 (2008)
Generation of Pad debris during oxide CMP process and [85] Johnson K L. Contact Mechanics. New York (USA):
Its role in scratch formation. J Electrochem Soc 158(4): Cambridge university press, 1987.
H394−H400 (2011) [86] Suh N P. Tribophysics. New Jersey (USA): Prentice-Hall,
[73] Kwon T Y, Cho B J, Ramachandran M, Busnaina A A, Park Englewood Cliffs, 1986.
J G. Investigation of Source-Based Scratch Formation During [87] Maugis D, Pollock H M. Surface forces, deformation and
Oxide Chemical Mechanical Planarization. Tribol Lett 50(2): adherence at metal microcontacts. ACTA Metall 32(9):
169−175 (2013) 1323−1334 (1984)
[74] Yang J C, Oh D W, Kim H J, Kim T. Investigation on [88] Saka N, Eusner T, Chun J H. Scratching by pad asperities in
surface hardening of polyurethane pads during chemical chemical-mechanical polishing. CIRP Ann Manuf Technol
mechanical polishing (CMP). J Electron Mater 39(3): 59(1): 329−332 (2010)
338−346 (2010) [89] Chandra A, Karra P, Bastawros A F, Biswas R, Sherman
[75] Lin M, Chang C Y, Liao D C, Wang B, Henderson A. P J, Armini S, Lucca D A. Prediction of scratch generation
Improved STI CMP technology for micro-scratch issue. In in chemical mechanical planarization. CIRP Ann Manuf
Proceedings of Chemical Mechanical Polishing-Multilevel Technol 57(1): 559−562 (2008)
Interconnection Conference, Santa Clara, 1999: 322. [90] Ball R C, Weitz D A, Witten T A, Leyvraz F. Universal
[76] Huang J, Chen H C, Wu J Y, Lur W. Investigation of CMP kinetics in reaction-limited aggregation. Phys Rev Lett 58(3):
micro-scratch in the fabrication of sub-quarter micron VLSI 274–277 (1987)
circuit. In Proceedings of Chemical Mechanical Polishing- [91] Che W, Guo Y, Chandra A, Bastawros A. A scratch
Multilevel Interconnection Conference, Santa Clara, 1999: intersection model of material removal during chemical
77−79. mechanical planarization (CMP). J Manuf Sci Eng 127(3):
[77] Benner S J, Perez G, Peters D W, Hue K, O’Hagan P. 545–554 (2005)
Reduction of CMP-induced wafer defects through in-situ [92] Gao C, Kuhlmann-Wilsdorf D, Makel D D. Fundamentals
removal of process debris. In Advanced Semiconductor of stick-slip. Wear 162−164(PART B): 1139−1149 (1993)
Manufacturing Conference (ASMC), 2011 22nd Annual [93] Gao C, Kuhlmann-Wilsdorf D, Makel D D. The dynamic
IEEE/SEMI. IEEE, 2011: 1−4. analysis of stick-slip motion. Wear 173(1−2): 1−12 (1994)
[78] Suratwala T, Wong L, Miller P, Feit M D, Menapace J, [94] Zhang S L, Li J C M. Slip process of stick-slip motion
Steele R, Davis P, Walmer D. Sub-surface mechanical damage in the scratching of a polymer. Mater Sci Eng A 344(1−2):
distributions during grinding of fused silica. J Non-cryst 182−189 (2003)
Solids 352(52−54): 5601−5617 (2006) [95] Kim H J, Yang J C, Yoon B U, Lee H D, Kim T. Nano-scale
[79] Lawn B. Fracture of Brittle Solids. New York (USA): stick-slip friction model for the chatter scratch generated by
Cambridge university press, 1993. chemical mechanical polishing process. J Nanosci Nanotech
[80] Hutchings I M. Tribology: Friction and Wear of Engineering 12(7): 5683−5686 (2012)
Friction 1(4): 279–305 (2013) 305
Jin-Goo PARK. He received PhD directors of Micro Biochip Center and Nano-bio
degree in materials science and Electronic Materials and Processing Lab. (NEMPL,
engineering from University of www.nempl.net). His research interests include
Arizona in 1993. From 1992 to 1994, wafer cleanings and chemical mechanical polishing
he was with Texas Instruments, as well as nano-bio MEMS. He is now president of
Dallas, TX, where he was Korea CMPUGM (www.cmpugm.com) and a
responsible for microcontamination founder and president of International Conference on
control in semiconductor wet processing and DMD Planarization/CMP Technology (ICPT) which is the
development. In 1994, he joined Hanyang University largest CMP conference in the world. He is also a
at Ansan, where he is now a professor in the founder of Korea Surface Cleaning Users Group
Department of Materials Engineering as well as Meeting (www.scugm.com).
Tae-Young KWON. He received and Ph.D. student at the same university. He has
his Bachelor degree in Department recently obtained his Ph.D. degree in Department of
of Materials Engineering in 2006 Materials Engineering at Hanyang University. His
from Hanyang University, Ansan, research interests include Chemical Mechanical
Korea. After then, he was a M.S. Planarization process and its tribology.
Friction 1(4): 306–326 (2013)
DOI 10.1007/s40544-013-0035-x ISSN 2223-7690
REVIEW ARTICLE
Abstract: For several decades, chemical mechanical polishing (CMP) has been the most widely used planarization
method in integrated circuits manufacturing. The final polishing results are affected by many factors related to
the carrier structure, the polishing pad, the slurry, and the process parameters. As both chemical and mechanical
actions affect the effectiveness of CMP, and these actions are themselves affected by many factors, the CMP
mechanism is complex and has been a hot research area for many years. This review provides a basic description
of the development, challenges, and key technologies associated with CMP. We summarize theoretical CMP
models from the perspectives of kinematics, empirical, its mechanism (from the viewpoint of the atomic scale,
particle scale, and wafer scale), and its chemical–mechanical synergy. Experimental approaches to the CMP
mechanism of material removal and planarization are further discussed from the viewpoint of the particle wear
effect, chemical–mechanical synergy, and wafer–pad interfacial interaction.
Keywords: chemical mechanical polishing (CMP); CMP model; planarization mechanism; wafer–pad interaction;
uniformity
2 Basics of CMP
2.2.3 Slurry
complexing agent can significantly affect the polishing and the 22 nm node needs 13 layers. The nonuniformity
results [16, 17]. will accumulate when the number of interconnect
layers increases, which may introduce additional
2.2.4 Process parameters
challenges to the CMP process.
As shown in Fig. 4, the removal rate profile is codeter- To increase the production efficiency and to reduce
mined by the wafer–pad interfacial parameters of the chip cost, the wafer dimension has been increased
pressure distribution, sliding distance distribution, from 200 mm (8 inches) to 300 mm (12 inches), and
temperature distribution, and slurry distribution. Many subsequently toward 450 mm. The semiconductor
process parameters, such as the downforce (including industry has effectively adapted its CMP technology
the zone pressure and the ring pressure), the kinematic for the 300 mm wafer. For large-diameter wafers, the
parameters (including the carrier/platen speed and realization of global planarity across the whole wafer
reciprocating motion parameters), the slurry (including will also be a major challenge for CMP.
its flow rate, pH value, and particle parameters), and 2.3.2 Low-k material
the pad (including its hardness, groove form, and
conditioning parameters), can affect the final polishing To reduce the RC delay of the device, copper
results by modifying above interfacial parameters at interconnects have been introduced to replace Al
the wafer–pad interface. interconnects, and the damascene process has been
introduced. Ultra low-k materials will be used as
2.3 Development trend and main challenges interlayer dielectrics to further decrease the RC
delay. According to the ITRS roadmap 2012, materials
2.3.1 Feature size and wafer dimension
with a dielectric constant of 2.2 will be integrated
With the development of different technique, inte- into the IC by the year 2019 (Table 1). However, the
grated circuits have trended toward having smaller low-k dielectrics are soft and weak relative to the
size, higher integration, and lower price. As a result, metal material. Both of the single and dual damascene
several new challenges have emerged for the CMP structures comprising ultra low-k materials are more
process. Base on the International Technology Roadmap prone to buckling and crushing failures. The difference
for Semiconductors (ITRS 2012 [18]), both STI CMP between the mechanical property and polishing rates
and interconnect CMP are being developed toward of copper and the low-k materials will significantly
sub-22 nm node (see Table 1).
Table 1 Interconnect CMP demand from ITRS 2012 [18].
The ITRS 2012 predicts that by 2015, the half pitch
of Metal 1 will be below 22 nm, and will be further Interlevel metal
Metal 1 wiring Number
insulator effective
reduced to 14 nm by 2019. However, as the feature Year half-pitch of metal
dielectric constant,
size decreased, the focus depth of the lithography (nm) levels
k
is shortened accordingly. The nonuniformity of the
2012 32 12 2.82–3.16
wafer surface will therefore result in a nonuniform
lithography width, subsequently leading to chip 2013 27 13 2.55–3.00
failure. 2014 24 13 2.55–3.00
For the ultra-large scale integrated-circuit (ULSI), 2015 21 13 2.55–3.00
the number of transistors that are fitted on a single
2016 19 13 2.40–2.78
chip has exceeded 1 billion. Multi-lever interconnects
2017 17 14 2.40–2.78
are introduced to improve the connection efficiency.
With the increasing number of transistors per chip, 2018 15 14 2.40–2.78
the number of interconnect layers also increases. For 2019 13 14 2.15–2.46
the 65 nm node, there are 9−10 layers, and when the 2020 12 14 2.15–2.47
feature size is below 45 nm, the number of interconnect
2025 7 16 1.60–2.00
layers exceeds 10, while the 32 nm node needs 12 layers,
Friction 1(4): 306–326 (2013) 311
wafer–pad interaction all play the important roles in Zhao’s model gives the wear volume of the wafer
CMP. The contact mechanism model ignores the fluid by a single particle as
action. The downforce applied on the polishing pad
is assumed to be carried by the solid–solid contact of G K SVt (6)
the wafer surface, i.e., the abrasive–wafer interaction where K is the wear constant, ΔS is the cross section
and asperity–wafer interaction. The interactions consist area of the worn groove, V is the relative velocity
of three different models based on the dimensions between the wafer and the pad, and t is the polishing
[46, 47], namely the particle scale model, asperity scale time. The pad properties affect the contact status of the
model, and wafer scale model, as shown in Fig. 10. particles, and should be considered in the model [50].
The particle scale model and asperity scale model are Shi et al. [51] and Wang et al. [52] compared the
the bases used to access the wafer scale model. different contact statuses for the soft pad and hard
(a) Particle scale model pad (see Fig. 12). For the hard pad (Fig. 12(a)), the
The particle scale model evaluates the indentation particles make contact with the wafer surface, while
depth and the wear volume of the particle. A single the pad asperities do not; for the soft pad (Fig. 12(b)),
particle wear model was proposed by Zhao et al. the particles are embedded in the pad asperities, and
[48, 49], as shown in Fig. 11. Because the pad is much both the particles and the pad asperities make contact
softer than the hard particles, the particle will be with the wafer surface. Therefore, the removal rate
indented into the pad. The indentation depth and model is quite different for the soft pad and hard pad.
section area of a single particle can be calculated based The relationship between the removal rate and the
on the theory of contact mechanics in conjunction particle size was further developed [53].
with the force equilibrium. (b) Asperity scale model
In the asperity scale model, one or more particles
are trapped at the wafer–asperity interface. Only
the particles embedded in the asperity contribute to
material removal in CMP, and they can therefore be
defined as active particles [54]. The asperity defor-
mation and contact area are calculated to evaluate the
Fig. 10 CMP model at different scales: (a) wafer scale, (b) asperity
scale, and (c) particle scale (Reproduced from Ref. [6], by
permission of The Electrochemical Society).
Fig. 12 Contact status of (a) hard pad, and (b) soft pad (Reprinted
Fig. 11 Single particle contact model (Reprinted from Ref. [48], from Ref. [51], with kind permission from Springer Science +
Copyright 2002, with permission from Elsevier). Business Media).
Friction 1(4): 306–326 (2013) 315
number of active particles, and to further evaluate the of the model is determined by the above assumptions.
MRR. Zhao et al. [49] studied the contact model of a In fact, the actual contact ratio is very small (<1%)
single asperity for elastic, plastic, and elastic-plastic [54, 57].
statuses. Their results reveal that the pad property and
4.2.2 Model considering fluid mechanism
topography have an important effect on the efficiency
on the material removal. Fluid lubrication plays an important role in the
(c) Wafer scale model wafer–pad interactions. The fluid force can support a
The atomic scale model and asperity scale model are part of the downforce. Assume that s is the complex
both local models. In order to obtain the MRR model roughness and h is the fluid film thickness. Based
across the entire wafer surface, it is necessary to on lubrication theory, if h >> s, full film lubrication is
expand the local models to the wafer scale. The wafer generated and Reynolds equation can be used to solve
scale model uses a mathematical statistical method to the fluid pressure, while if h ≈ s, mixed lubrication is
calculate the actual contact area across the wafer and generated and the roughness of the surface cannot
to evaluate the number of active particles. Using the be ignored. Some researchers have used simplified
particle scale model as the element, the global MRR lubrication models and the Reynolds equation to solve
model can be obtained. the fluid pressure for CMP.
The pad asperity is randomly distributed, as shown The full film CMP lubrication model was first
in the left figure of Fig. 13. The right figure of Fig. 13 introduced to CMP and assumes that the wafer has
gives a description of the probability density distri- been absolutely separated by a slurry film. The most
bution of the pad height. A classic probability statistical simplified CMP lubrication model ignored the defor-
model for the rough surface, G-W model [55], is mation of the wafer and the pad (as shown in Fig. 14(a)).
selected to evaluate the actual contact area between Based on the cylindrical coordinate Reynolds equation
the wafer and the pad. and the equations for the force and torque, the fluid
pressure of the slurry film was calculated using
A N ( z d) ( z)dz (7) numerical methods. The results suggested a positive
d
pressure, with the center pressure being much larger
where N is the total number of asperity, (z) is the than the pressure at the edge [58−60]. Sundararajan
probability density distribution function of the pad et al. [61] further considered the deformation of the
asperity height, β is the characteristic length scale for wafer in the model, as shown in Fig. 14(b). Thakurta
the roughness of the pad surface, z is the pad height, et al. [14] further considered the deformation of the
and d is the distance to the mean line of pad surface. pad, as shown in Fig. 14(c). Also, a positive pressure
The number of active particles is evaluated base on was obtained.
several hypotheses [50]. Zhao’s model [48] assumes Actually, the pad surface is not flat, but has a
that the particles in the contact area have the same specific roughness and micropores. The pad surface
face density with the slurry, while Jeng’s model [56] profile will affect the lubrication, especially when the
assumes that particles with the same number of that in roughness is comparable to the film thickness. Kim et
the slurry with the volume of the compress asperities al. [62] and Ng et al. [63] added the pad roughness
were trapped at the wafer–pad interface. The precision to the model and introduced the flow factor to the
average Reynolds equation. This kind of model is
close to the actual condition, however, the pad profile
is difficult to model.
For general CMP, the asperity/particle must be in
contact with the wafer. Therefore, the mixed lubrication
model is more suitable for CMP [64]. Tichy et al. [65]
simulated the regular distribution of the pad asperities,
as shown in Fig. 15(a). Tsai et al. [66] assumed that a
Fig. 13 Probability density distribution of the pad height.
316 Friction 1(4): 306–326 (2013)
Fig. 14 Lubrication models of CMP: (a) rigid pad/wafer, (b) considering wafer deformation (Reproduced from Ref. [61], by permission
of The Electrochemical Society), and (c) considering wafer and pad deformation (Reprinted from Ref. [14], Copyright 2000, with
permission from Elsevier).
part of the wafer is in contact with the pad, while a the wafer, the friction torque will drag the leading edge
part of the wafer has a hydrodynamic lubrication down toward the pad, and the wafer’s leading edge
with the pad, as shown in Fig. 15(b). Using the mixed has a much tenser contact with the pad. Therefore,
lubrication model of CMP, the fluid pressure, the fluid a suction pressure is formed in the leading region
film thickness, and the contact ratio can be obtained. of the wafer owing to a diverging clearance [65]. In
The relative motion is another important factor the above models, the simplification of the carrier
that affects the lubrication during CMP. The friction structure, especially the retaining ring, may obviously
torque at the interface produced by the relative affect the contact feature of the wafer [9, 10, 67], which
motion will cause the wafer to lean and change the may further affect the slurry flow and the lubrication
wafer orientation. As a result, the contact force will behavior between the wafer and pad. It is desired that
be nonuniform. If there is no retaining ring around more practical model considering the carrier structure
and loading characteristic will be developed.
as they ignored the chemical action, which is an pure wear and pure corrosion, respectively; rc-w and
important part of CMP. Luo and Dornfeld [50] give a rw-c represent the part of corrosion-induced wear,
general model which considers the chemical corrosion: and the part of wear-induced corrosion, respectively.
Therefore, in Li’s model, rc-w and rw-c gives the
MRR w NVremoved C0 (8)
synergism of the wear and corrosion, which results in
where w is the density of the wafer, N is the number the greatest material removal during CMP.
of the active particles, Vremoved is the removal rate of a Based on the mechanical model, the real wafer–pad
single particle, and C0 represents the removal rate contact area can thus be evaluated. By multiplying the
caused by chemical corrosion. This model considers number of active particles with the removal volume
both the mechanical action and chemical action in of a single particle, Li gives an expression for the MRR
CMP. However, it is not accurate to use a constant to due to abrasive wear. When the film on the wafer
describe the corrosion. surface is removed by particles, a fresh wafer surface
In another general accepted model, a thin film is is exposed, which promotes the disolution of the
generated on the wafer surface, which is soft and copper. As a result, the anodic current subsequently
can be easily removed. The film is removed by the increases due to the enhanced dissolution of the wafer
mechanical action of the particle. The film generation surface. Hence, the MRR due to corrosion during CMP
and removal are parts of a dynamic process. When can be calculated by Faraday’s law. Finally, Li gives
the growth rate and the removal rate attain some the total MRR as follows:
equilibrium, the best polishing results are obtained
C1
[76, 77]. MRR rwc rcc ( h 2 C2C3 h)P02/ 3 v C3 i0 (12)
C02 R2
In fact, the chemical action and mechanical action
have a synergistic effect, in which they are both pro- Li’s model not only quantifies the chemical mechanical
moted. Li et al. [78, 79] considered the interaction of synergy, but also isolates each component’s con-
mechanical part and chemical part in their model. Based tribution to the MRR. Li’s model reveals that major
on the corrosion and wear theory, a mathematical factors affecting the material removal include the
material removal model incorporating both chemical process parameters, properties of the pad, particle,
and mechanical effects during CMP was proposed. and slurry (pH, concentration).
During CMP, the slurry has an (electro-) chemical In order to assess the relative importance of
erosion effect on the wafer surface, and the particles mechanical wear and chemical corrosion to the MRR
also have a mechanical abrasive wear effect on the during CMP, Li gives a parameter of the mechanical-
wafer surface. The synergistic effect of the (electro-) to-chemical ratio (rwc/rcc).
chemical corrosion effect and the mechanical abrasive
rwc
wear effect result in a high efficiency MRR and good RP1/ 3 (13)
surface quality to CMP. The CMP system is similar to rcc
a corrosion-wear system. Li et al. [79] gives a synergy where R is the particle size. Equation (13) indicates
model which expresses the total MRR using the that the mechanical-to-chemical ratio increases linearly
mechanical component rwc, and chemical component rcc: with particle size, and that an increase in the applied
pressure will enhance the mechanical effect.
MRR rwc rcc (9)
Figures 19(a) and 19(b) show the corrosion–wear
where maps [79] from Li’s model according to the applied
pressure and the particle size, respectively. These
rwc rw rc-w (10)
maps reveal that the chemical–mechanical synergy
and dominates the material removal during CMP. As the
applied pressure and particle size increase, there is the
rcc rc rw-c (11)
appearance of a transition mechanism from corrosion-
where, rw and rc represent the removal rate due to induced wear to wear-induced corrosion [79].
Friction 1(4): 306–326 (2013) 319
5.1 Nano-scale material removal experiments 5.2 Material removal regime of CMP
Atomic Force Microscope (AFM) has been widely used Luo and Dornfeld [82] have given a map of material
to study the effects of the particle wear effect and the removal regions according to the abrasive weight
effect of slurries on the mechanical removal of the concentration. It is also important to give the material
surface layer. Yu et al. [80] found that the tribochemical removal regime from the aspect of the slurry chemical
wear of the silicon surface occurred for the SiO2 tips property.
and single-crystalline silicon wear pair, even at contact To determine the material removal regime of copper
pressures that are much lower than the hardness. The CMP from the perspective of the roles of chemical
surface topography of an etched Cu sample with or corrosion, abrasive wear, and their synergistic effects on
without probe scratching can be investigated by AFM. the material removal, Li et al. [78] used electrochemical
Liao’s [81] comparative AFM scratch tests for copper analysis and a nano-scratching method to investigate
samples after exposure to different solutions (see the MRR and surface quality after CMP with slurries
Fig. 20) revealed that the MRR and surface roughness having different pH values. They calculated the
are significantly influenced by the chemicals and pH mechanical–chemical removal rate ratio based on the
320 Friction 1(4): 306–326 (2013)
experimental data, and finally constructed a removal wafer carrier [84–89]. Their experiments found that a
mechanism map for copper CMP depending on the large negative pressure region occupying more than
pH values, as shown in Fig. 21. The pure chemical 70% of the contact area between the disk and the pad
effect accounts for almost all of the material removal existed near the leading edge of the disk. However,
at pH 3.0 and 10.0, indicating that the chemical as the rigid disk is quite different from the wafer with
corrosion effect plays a dominant role during the CMP respect to its bending property, the results may be
process; in the alkaline slurry, the wear–corrosion quite different from those for real situations.
effect predominates in the material removal at pH To study the fluid lubrication behavior during an
values of 8.0 and 9.0, while the copper removal actual CMP process which uses the multizone carrier
mechanism transfers to corrosion–wear action in the and the retaining ring, Zhao et al. [90–92] developed a
acidic slurry from pH 4.0 to 6.0. The wear-induced novel in-situ fluid pressure and wafer status measure-
corrosion effect resulted in a majority of the material ment system, which uses an array of pressure sensors
removal from a pH of 7.0 to 9.0, and a good surface to measure the fluid pressure, and an array of
quality was obtained. Li’s results provide strategies distance sensors to monitor the wafer status. The in
for realizing the process optimization of CMP. situ measurement system was integrated in a 12-inch
CMP equipment. The schematic section view of the
5.3 In situ study of fluid lubrication behavior during integrated measurement system is shown in Fig. 22.
CMP Zhao’s fluid pressure measurements revealed the
presence of a small negative pressure region at the
The slurry plays an important role at the wafer–pad
leading edge, while the positive pressure is dominant
interface during CMP. The particles and chemicals
(see Fig. 23), which is quite different from the test
are brought to the interface with the slurry flow [83];
results obtained from the simplified CMP test tool.
the slurry can build a lubrication film and decrease
The fluid pressure can support 10%–30% of the
the friction force, and the fluid pressure can bear some
downforce depending on the downforce [44]. Wafer
of the downforce, thus causing wafer to have a flexible
bending/orientation measurements reveal a micron
landing on the pad.
level wafer bending and a slight wafer pitch angle
To experimentally determine the fluid behavior at
during the dynamic polishing process, both of which
the wafer–pad interface, several fluid pressure mapping
increase linearly with the downforce.
studies were performed on the simplified experimental
setups of CMP, using a disk to simulate the wafer and
Fig. 21 Li’s material removal mechanism map for copper CMP Fig. 22 Schematic of in situ measurement system of CMP
(Reprinted from Ref. [78], with kind permission from Springer (Reprinted from Ref. [92], Copyright 2013, with permission from
Science+Business Media). Elsevier).
Friction 1(4): 306–326 (2013) 321
6 Conclusions
For several decades, chemical mechanical polishing
(CMP) has been developed from both a theoretical
and technical perspective. The mechanism of CMP is
shown based on theoretical modeling and experimental
verification, but it still requires further development.
The following conclusions have been made from this
review.
(1) The reduction in the feature size of IC products,
the increase in wafer dimensions, and the use of low-k
Fig. 24 Schematic of wafer–pad interaction and fluid lubrication materials all result in further challenges to CMP. More
(Reprinted from Ref. [91], Copyright 2013, with permission from precision technologies, such as the pressure control
Elsevier). technology and the end point detecting technology,
322 Friction 1(4): 306–326 (2013)
are significant for CMP process control. (Grant No. 51021064), and the National Natural Science
(2) CMP is a complex mechanism. Many factors Foundation of China (Grant No. 51305227). The authors
related to the carrier structure, the polishing pad, the would like to thank Enago (www.enago.cn) for the
slurry, and the process parameters may affect the final English language review.
polishing results. The wafer–pad interfacial status,
including the pressure/stress distribution, the slurry Open Access: This article is distributed under the terms
film distribution, the sliding distance distribution, and of the Creative Commons Attribution License which
the temperature distribution play important roles in permits any use, distribution, and reproduction in any
determining the final polishing results. medium, provided the original author(s) and source
(3) The kinematics and the contact stress are the most are credited.
basic aspects that describe the mechanical interactions
between the wafer and the pad. The mechanical References
models ranging from the particle scale to the wafer
scale based on the contact mechanism analysis and [1] Kahng A B, Samadi K. CMP fill synthesis: a survey of
the kinematic analysis can be used to predict the recent studies. IEEE T Comput Aid D 27(1): 3–19 (2008)
profile from a mechanical viewpoint. Moreover, the [2] Ryan J G, Geffken R M, Poulin N R, Paraszczak J R. The
evolution of interconnection technology at IBM. Ibm J Res
MD simulations from the atomic scale reveal the
Dev 39(4): 371–381 (1995)
physical mechanism of the particle–substrate action,
[3] Bai P, Auth C, Balakrishnan S, Bost M, Brain R, Chikarmane
which suggests that the extruding, sliding, and rolling
V, Heussner R, Hussein M, Hwang J, Ingerly D, James R,
of the particles affect the material removal.
Jeong J, Kenyon C, Lee E, Lee S H, Lindert N, Liu M, Ma
(4) The CMP mechanism is complex because both
Z, Marieb T, Murthy A, Nagisetty R, Natarajan S, Neirynck
the chemical and mechanical actions contribute to
J, Ott A, Parker C, Sebastian J, Shaheed R, Sivakumar S,
CMP and these actions are affected by many factors. Steigerwald J, Tyagi S, Weber C, Woolery B, Yeoh A,
From the viewpoint of the mechanism, including the Zhang K, Bohr M. A 65nm logic technology featuring 35nm
contact mechanism and the fluid mechanism, the gate lengths, enhanced channel strain, 8 Cu interconnect
models cannot fully reveal the CMP mechanism, but layers, low-k ILD and 0.57 μm2 sram cell. In Electron Devices
are useful in the profile prediction to some degree. Meeting, 2004. IEDM Technical Digest. IEEE International,
Considering the chemical and mechanical synergistic 2004: 657–660.
effects, the models are closer to the actual mechanism [4] Zantye P B, Kumar A, Sikder A K. Chemical mechanical
of CMP. The model of the chemical mechanical synergy planarization for microelectronics applications. Mat Sci Eng
reveals that both the chemical and mechanical actions R 45(3–6): 89–220 (2004)
can assist each other in material removal. [5] Bohr M. Silicon technology for 32nm and beyond system-
(5) Experimental approaches to the CMP mechanism on-chip products. In Intel Developer Forum, 2009.
of material removal and planarization further confirm [6] Bozkaya D, Muftu S. A material removal model for CMP
that the chemicals in the slurry affect the film based on the contact mechanics of pad, abrasives, and wafer.
property and the particle wear volume. The pH value J Electrochem Soc 156(12): H890–902 (2009)
[7] Kim H J, Jeong H D. Effect of process conditions on
significantly affects the material removal regimes of
uniformity of velocity and wear distance of pad and wafer
CMP. Corrosion–wear action in the acidic slurry with
during chemical mechanical planarization. J Electron Mater
pH ranging from 4.0 to 6.0 will transfer to a wear-
33(1): 53–60 (2004)
induced corrosion effect when the pH increases to
[8] Srinivasa-Murthy C, Wang D, Beaudoin S P, Bibby T, Holland
7.0–9.0.
K, Cale T S. Stress distribution in chemical mechanical
polishing. Thin Solid Films 308–309: 533–537 (1997)
Acknowledgements [9] Lo S P, Lin Y Y, Huang J C. Analysis of retaining ring
using finite element simulation in chemical mechanical
The authors appreciate the financial support provided polishing process. Int J Adv Manuf Tech 34(5–6): 547–555
by the Science Fund for Creative Research Groups (2007)
Friction 1(4): 306–326 (2013) 323
[10] Lin Y Y. Influence of a retaining ring on strain and stress in [25] Xie Y S, Bhushan B. Effects of particle size, polishing
the chemical mechanical polishing process. Mater Manuf pad and contact pressure in free abrasive polishing. Wear
Process 22(7–8): 871–878 (2007) 200(1–2): 281–295 (1996)
[11] Wang T Q, Lu X C. Numerical and experimental inves- [26] Bastawros A, Chandra A, Guo Y J, Yan B. Pad effects on
tigation on multi-zone chemical mechanical planarization. material-removal rate in chemical-mechanical planarization.
Microelectron Eng 88(11): 3327–3332 (2011) J Electron Mater 31(10): 1022–1031 (2002)
[12] Park K, Oh J, Jeong H. Pad characterization and experimental [27] Kim H J, Kim H Y, Jeong H D, Lee E S, Shin Y J. Friction
analysis of pad wear effect on material removal uniformity in and thermal phenomena in chemical mechanical polishing.
chemical mechanical polishing. Jpn J Appl Phys 47(10Part 1): J Mater Process Tech 130(SI): 334–338 (2002)
7812–7817 (2008) [28] Oh S, Seok J. Modeling of chemical-mechanical polishing
[13] Kim B S, Tucker M H, Kelchner J D, Beaudoin S P. Study on considering thermal coupling effects. Microelectron Eng
the mechanical properties of CMP pads. IEEE T Semiconduct 85(11): 2191–2201 (2008)
M 21(3): 454–463 (2008) [29] Zhao D W, He Y Y, Wang T Q, Lu X C. Effect of kinematic
[14] Thakurta D G, Borst C L, Schwendeman D W, Gutmann R J, parameters and their coupling relationships on global
Gill W N. Pad porosity, compressibility and slurry delivery uniformity of chemical-mechanical polishing. IEEE T
effects in chemical-mechanical planarization: modeling and Semiconduct M 25(3): 502–510 (2012)
experiments. Thin Solid Films 366(1–2): 181–190 (2000) [30] Tseng W T, Chin J H, Kang L C. A comparative study on
[15] Rosales-Yeomans D, DeNardis D, Borucki L, Philipossian the roles of velocity in the material removal rate during
A. Design and evaluation of pad grooves for copper CMP. J chemical mechanical polishing. J Electrochem Soc 146(5):
Electrochem Soc 155(10): H797–H806 (2008) 1952–1959 (1999)
[16] Lee H, Park B, Jeong H. Influence of slurry components [31] Wang C L, Jin Z J, Kang R K. Effects of kinematic forms
on uniformity in copper chemical mechanical planarization. on material removal rate and non-uniformity in chemical
Microelectron Eng 85(4): 689–696 (2008) mechanical planarisation. Int J Mater Prod Tec 31(1): 54–62
[17] Steigerwald J M, Murarka S P, Gutmann R J, Duquette D J. (2008)
Chemical processes in the chemical mechanical polishing of [32] Hocheng H, Tsai H Y, Tsai M S. Effects of kinematic
copper. Mater Chem Phys 41(3): 217–228 (1995) variables on nonuniformity in chemical mechanical planariza-
[18] Information. http://www.itrs.net/Links/2012ITRS/Home2012.htm, tion. Int J Mach Tool Manu 40(11): 1651–1669 (2000)
2012. [33] Tyan F. Nonuniformity of wafer and pad in CMP: kinematic
[19] Peter S. Low-pressure CMP developed for 300 mm ultralow-k. aspects of view. IEEE T Semiconduct M 20(4): 451–463
Semiconductor International 26(12): 30 (2003) (2007)
[20] Dhandapani S, Qian J, Cherian B, Menk G, Garretson C, [34] Zhao D, Wang T, He Y, Lu X. Kinematic optimization for
Lee H, Bennett D, Osterheld T. In situ profile control with titan chemical mechanical polishing based on statistical analysis
edgetm heads for dielectric planarization of advanced CMOS of particle trajectories. Semiconductor Manufacturing, IEEE
devices. In International Conference on Planarization/CMP Transactions on 26(4): 556–563 (2013)
Technology (ICPT 2012), Grenoble, France, 2012: 1–5. [35] Wang D, Lee J, Holland K, Bibby T, Beaudoin S, Cale T.
[21] Hocheng H, Huang Y L. A comprehensive review of end Von mises stress in chemical-mechanical polishing processes.
point detection in chemical mechanical polishing for deep- J Electrochem Soc 144(3): 1121–1127 (1997)
submicron integrated circuits manufacturing. Int J Nano Tech [36] Lin Y Y, Chen D Y, Ma C. Simulations of a stress and
1: 1–18 (2002) contact model in a chemical mechanical polishing process.
[22] Das T K, Ganesan R, Sikder A K, Kumar A. Online end Thin Solid Films 517(21): 6027–6033 (2009)
point detection in CMP using SPRT of wavelet decomposed [37] Lin Y Y, Lo S P. Modeling of chemical mechanical
sensor data. IEEE T Semiconduct M 18(3): 440–447 (2005) polishing process using FEM and abductive network. Eng
[23] Bibby T, Adams J A, Holland K. Optical endpoint detection Appl Artif Intel 18(3): 373–381 (2005)
for chemical mechanical planarization. J Vac Sci Technol B [38] Lin Y Y, Lo S P. A study of a finite element model for the
17(5): 2378–2384 (1999) chemical mechanical polishing process. Int J Adv Manuf
[24] Seo Y J, Lee W S, Park J S, Kim S Y. Motor-current-based Tech 23(9–10): 644–650 (2004)
real-time end point detection of shallow-trench-isolation [39] Lin Y Y, Lo S P. A study on the stress and nonuniformity
chemical mechanical polishing process using high-selectivity of the wafer surface for the chemical-mechanical polishing
slurry. Jpn J Appl Phys 42(10): 6396–6369 (2003) process. Int J Adv Manuf Tech 22(5–6): 401–409 (2003)
324 Friction 1(4): 306–326 (2013)
[40] Chen K S, Yeh H M, Yan J L, Chen Y T. Finite-element [54] Yeruva S B, Park C W, Rabinovich Y I, Moudgil B M.
analysis on wafer-level CMP contact stress: reinvestigated Impact of pad-wafer contact area in chemical mechanical
issues and the effects of selected process parameters. Int J polishing. J Electrochem Soc 156(10): D408–D412 (2009)
Adv Manuf Tech 42(11–12): 1118–1130 (2009) [55] Greenwood J A, Williamson J B P. Contact of nominally flat
[41] Fu G H, Chandra A. The relationship between wafer surface surfaces. Proc R Soc Lond A 295(1442): 300–319 (1966)
pressure and wafer backside loading in chemical mechanical [56] Jeng Y, Huang P. A material removal rate model considering
polishing. Thin Solid Films 474(1–2): 217–221 (2005) interfacial micro-contact wear behavior for chemical
[42] Wang T, Lu X, Zhao D, He Y. Contact stress non-uniformity mechanical polishing. J Tribol 127(1): 190–197 (2005)
of wafer surface for multi-zone chemical mechanical [57] Uneda M, Maeda Y, Ishikawa K, Ichikawa K, Doi T,
polishing process. Science China Technological Sciences Yamazaki T, Aida H. Relationships between contact image
56(8): 1974–1979 (2013) analysis results for pad surface texture and removal rate in
[43] Tseng W T, Wang Y L. Re-examination of pressure and CMP. J Electrochem Soc 159(2): H90–H95 (2012)
speed dependences of removal rate during chemical- [58] Cho C H, Park S S, Ahn Y. Three-dimensional wafer scale
mechanical polishing processes. J Electrochem Soc 144(2): hydrodynamic modeling for chemical mechanical polishing.
L15–L17 (1997) Thin Solid Films 389(1–2): 254–260 (2001)
[44] Zhao D W, He Y Y, Wang T Q, Lu X C, Luo J B. Effects of [59] Park S S, Cho C H, Ahn Y. Hydrodynamic analysis of
the polishing variables on the wafer-pad interfacial fluid chemical mechanical polishing process. Tribol Int 33(10):
pressure in chemical mechanical polishing of 12-inch wafer. 723–730 (2000)
J Electrochem Soc 159(3): H342–H348 (2012) [60] Zhang C H, Luo J B, Liu J Q, Du Y P. Analysis on contact
[45] Castillo-Mejia D, Beaudoin S. A locally relevant prestonian and flow features in CMP process. Chinese Sci Bull 51(18):
model for wafer polishing. J Electrochem Soc 150(2): 2281–2286 (2006)
G96–G102 (2003) [61] Sundararajan S, Thakurta D G, Schwendeman D W, Murarka
[46] Seok J, Sukam C P, Kim A T, Tichy J A, Cale T S. S P, Gill W N. Two-dimensional wafer-scale chemical
Multiscale material removal modeling of chemical mechanical mechanical planarization models based on lubrication theory
polishing. Wear 254(3–4): 307–320 (2003) and mass transport. J Electrochem Soc 146(2): 761–766 (1999)
[47] Seok K J, Kim A T, Sukam C P, Jindal A, Tichy J A, [62] Kim T, Cho Y. Average flow model with elastic deformation
Gutmann R J, Cale T S. Inverse analysis of material for CMP. Tribol Int 39(11): 1388–1394 (2006)
removal data using a multiscale CMP model. Microelectron [63] Ng S H. Measurement and modeling of fluid pressures in
Eng 70(2–4): 478–488 (2003) chemical mechanical polishing. PhD thesis. Atlanta (USA):
[48] Zhao Y W, Chang L. A micro-contact and wear model Georgia Institute of Technology, 2005.
for chemical-mechanical polishing of silicon wafers. Wear [64] Jeng Y, Huang P, Pan W. Tribological analysis of CMP
252(3–4): 220–226 (2002) with partial asperity contact. J Electrochem Soc 150(10):
[49] Zhao Y W, Maietta D M, Chang L. An asperity microcontact G630–G637 (2003)
model incorporating the transition from elastic deformation [65] Tichy J. Contact mechanics and lubrication hydrodynamics
to fully plastic flow. J Tribol-T ASME 122(1): 86–93 (2000) of chemical mechanical polishing. J Electrochem Soc 146(4):
[50] Luo J F, Dornfeld D A. Material removal mechanism in 1523–1528 (1999)
chemical mechanical polishing: theory and modeling. IEEE [66] Tsai H J, Jeng Y R, Huang P Y. An improved model
T Semiconduct M 14(2): 112–133 (2001) considering elastic-plastic contact and partial hydrodynamic
[51] Shi F G, Zhao B. Modeling of chemical-mechanical polishing lubrication for chemical mechanical polishing. P I Mech
with soft pads. Appl Phys A-Mater 67(2): 249–252 (1998) Eng J-J Eng 222(J6): 761–770 (2008)
[52] Wang Y G, Zhao Y W, Gu J. A new nonlinear-micro-contact [67] Hu I, Yang T S, Chen K S. Synergetic effects of wafer
model for single particle in the chemical-mechanical polishing rigidity and retaining-ring parameters on contact stress
with soft pad. J Mater Process Tech 183(2–3): 374–379 uniformity in chemical mechanical planarization. Int J Adv
(2007) Manuf Tech 56(5–8): 523–538 (2011)
[53] Yeruva S B. Particle scale modeling of material removal and [68] Chen R L, Jiang R R, Lei H, Liang M. Material removal
surface roughness in chemical mechanical polishing. PhD mechanism during porous silica cluster impact on crystal
thesis. Florida (USA): University of Florida, 2005. silicon substrate studied by molecular dynamics simulation.
Friction 1(4): 306–326 (2013) 325
Appl Surf Sci 264: 148–156 (2013) and material removal of copper. Tribol Lett 45(2): 309–317
[69] Chen R L, Liang M, Luo J B, Lei H, Guo D, Hu X. (2012)
Comparison of surface damage under the dry and wet impact: [82] Luo J F, Dornfeld D A. Material removal regions in
molecular dynamics simulation. Appl Surf Sci 258(5): chemical mechanical planarization for submicron integrated
1756–1761 (2011) circuit fabrication: coupling effects of slurry chemicals,
[70] Chen R L, Luo J B, Guo D, Lei H. Dynamic phase abrasive size distribution, and wafer-pad contact area. IEEE
transformation of crystalline silicon under the dry and wet T Semiconduct M 16(1): 45–56 (2003)
impact studied by molecular dynamics simulation. J Appl [83] Mueller N, Rogers C, Manno V P, White R, Moinpour M.
Phys 108: 0735217 (2010) In situ investigation of slurry flow fields during CMP. J
[71] Chen R L, Luo J B, Guo D, Lu X C. Extrusion formation Electrochem Soc 156(12): H908–H912 (2009)
mechanism on silicon surface under the silica cluster impact [84] Levert J A, Mess F M, Salant R F, Danyluk S, Baker A R.
studied by molecular dynamics simulation. J Appl Phys 104: Mechanisms of chemical-mechanical polishing of sio2 dielectric
10490710 (2008) on integrated circuits. Tribol T 41(4): 593–599 (1998)
[72] Si L N, Guo D, Luo J B, Lu X C. Monoatomic layer removal [85] Levert J A, Danyluk S, Tichy J. Mechanism for subambient
mechanism in chemical mechanical polishing process: a interfacial pressures while polishing with liquids. J Tribol
molecular dynamics study. J Appl Phys 107: 0643106 (2010) 122(2): 450–457 (2000)
[73] Si L N, Guo D, Luo J B, Lu X C, Xie G X. Abrasive rolling [86] Shan L, Levert J, Meade L, Tichy J, Danyluk S. Interfacial
effects on material removal and surface finish in chemical fluid mechanics and pressure prediction in chemical mechanical
mechanical polishing analyzed by molecular dynamics polishing. J Tribol-T ASME 122(3): 539–543 (2000)
simulation. J Appl Phys 109: 0843358 (2011) [87] Ng S H, Yoon I, Higgs C F, Danyluk S. Wafer-bending
[74] Si L N, Guo D, Luo J B, Xie G X. Planarization process of measurements in CMP. J Electrochem Soc 151(12): G819–
single crystalline silicon asperity under abrasive rolling G823 (2004)
effect studied by molecular dynamics simulation. Appl Phys [88] Ng S H, Borucki L, Higgs C F, Yoon I, Osorno A, Danyluk
A-Mater 109(1): 119–126 (2012) S. Tilt and interfacial fluid pressure measurements of a
[75] Han X S, Hu Y Z, Yu S Y. Investigation of material removal disk sliding on a polymeric pad. J Tribol-T ASME 127(1):
mechanism of silicon wafer in the chemical mechanical 198–205 (2005)
polishing process using molecular dynamics simulation [89] Scarfo A M, Manno V P, Rogers C B, Anjur S P, Moinpour M.
method. Appl Phys A-Mater 95(3): 899–905 (2009) In situ measurement of pressure and friction during CMP of
[76] Chen K, Wang Y L. Study of non-preston phenomena contoured wafers. J Electrochem Soc 152(6): G477–G481
induced from the passivated additives in copper CMP. J (2005)
Electrochem Soc 154(1): H41–H47 (2007) [90] Zhao D W, He Y Y, Lu X C. In situ measurement of fluid
[77] Qin K, Moudgil B, Park C W. A chemical mechanical pressure at the wafer-pad interface during chemical mechanical
polishing model incorporating both the chemical and polishing of 12-inch wafer. J Electrochem Soc 159(1):
mechanical effects. Thin Solid Films 446(2): 277–286 (2004) H22–H28 (2011)
[78] Li J, Liu Y H, Lu X C, Luo J B, Dai Y J. Material removal [91] Zhao D W, He Y Y, Wang T Q, Lu X C, Luo J B. Wafer
mechanism of copper CMP from a chemical-mechanical bending/orientation characterization and their effects on fluid
synergy perspective. Tribol Lett 49(1): 11–19 (2013) lubrication during chemical mechanical polishing. Tribol Int
[79] Li J, Lu X C, He Y Y, Luo J B. Modeling the chemical- 66: 330–336 (2013)
mechanical synergy during copper CMP. J Electrochem Soc [92] Zhao D W, Wang T Q, He Y Y, Lu X C. Effect of zone
158(2): H197–H202 (2011) pressure on wafer bending and fluid lubrication behavior
[80] Yu J X, Kim S H, Yu B J, Qian L M, Zhou Z R. Role of during multi-zone CMP process. Microelectron Eng 108:
tribochemistry in nanowear of single-crystalline silicon. ACS 33–38 (2013)
Appl Mater Interfaces 4(3): 1585–1593 (2012) [93] Li J, Liu Y, Dai Y, Yue D, Lu X, Luo J. Achievement
[81] Liao C L, Guo D, Wen S Z, Luo J B. Effects of chemical of a near-perfect smooth silicon surface. Science China
additives of CMP slurry on surface mechanical characteristics Technological Sciences 56(11): 2847–2853 (2013)
326 Friction 1(4): 306–326 (2013)
Xinchun LU. He received the BS and micro-nano tribology of the surface and interface, and
MS degrees in material science and equipment and processes of chemical mechanical
engineering from Jilin University polishing. He is the author or coauthor of over 100
of Technology, Changchun, China, journal publications and conference proceedings
in 1988 and 1991, respectively, and papers. He holds over 16 patents in the area of CMP
the PhD degree in the same field equipment.
from the Institute of Metal Research, Prof. Lu was the recipient of the Trans-Century
Chinese Academy of Sciences, in 1994. Training Program of the National Ministry of Educa-
He is a chair professor of Changjiang Scholars in the tion, and the National Science Found for Distinguished
Department of Precision Instruments and Mechanology Young Scholars of China. He has received numerous
of Tsinghua University, China, and is a member of national awards, including the Award for National
the international executive committee of ICPT. His Science Development (grade two), and the Science &
current areas of research include micro-nano fabrica- Technology Advancement Award (grade one), from
tion technology, the theory and applications of the the National Ministry of Education.
Dewen ZHAO. He received the BS Dissertation Award in 2013. Dr. Zhao is currently a
degree in mechanical engineering postdoctoral research fellow at Tsinghua University,
from Huazhong University of Science Beijing, China. He has more than 10 papers indexed
and Technology, Wuhan, China, in by SCI, and 9 authorized national invention patents.
2007, and the PhD degree in mech- His major research areas include chemical mechanical
anical engineering from Tsinghua polishing equipment and principles, tribology, and
University, Beijing, China in 2012. process monitoring.
He received the Bronze Medal of HIWIN Doctoral
Friction 1(4): 327–332 (2013)
DOI 10.1007/s40544-013-0017-z ISSN 2223-7690
RESEARCH ARTICLE
Abstract: Continued reduction in feature dimension in integrated circuits demands high degree of flatness after
chemical mechanical polishing. Here we report using new yttrium oxide (Y2O3) nanosheets as slurry abrasives
for chemical-mechanical planarization (CMP) of copper. Results showed that the global planarization was
improved by 30% using a slurry containing Y2O3 nanosheets in comparison with a standard industrial slurry.
During CMP, the two-dimensional square shaped Y2O3 nanosheet is believed to induce the low friction, the better
rheological performance, and the laminar flow leading to the decrease in the within-wafer-non-uniformity,
surface roughness, as well as dishing. The application of the two-dimensional nanosheets as abrasive in CMP
would increase the manufacturing yield of integrated circuits.
Keywords: Y2O3 nanosheets; chemical-mechanical planarization (CMP); nanoabrasives; slurry flow; wafer-pad
contact
further purification. A home-made abrasive, Y2O3 NS 500 s–1. In rheological experiments, three different con-
(~16 nm thick and >200 nm side, see Fig. S1 in Electronic centrations were selected for slurries, 0.3 wt%, 3 wt%,
Supplementary Material) was used to prepare CMP and 10 wt% in DI water. During the measurement,
slurry. The Y2O3 NS was synthesized via a hydrother- a stainless steel parallel spindle (Ø 25 mm) rotated
mal method, and those results will be reported while the lower Peltier plate was stationary. The gap
elsewhere. The home-made slurry was composed of (500 μm) between parallel plates was filled with slurries,
citric acid (0.01 M), BTA (0.05 wt%), H2O2 (3 vol%), and the temperature was maintained at 25 °C.
Y2O3 NS abrasive (3 wt%), and deionized (DI) water. The averaged thickness of the Cu film was measured
A commercial SiO2 slurry (~Ø 35 nm, Fujimi Corpora- using a table top four point probe (CDE ResMap 273)
tion) was used as-received for comparison in CMP. choosing 80 spots along the diameter of each wafer.
Another SiO2 NPs filtered from a commercial slurry The percentage ratio of the standard deviation of
(~Ø 35 nm, Cabot Electronics co.) with the same thickness relative to the averaged value was used
particle size and shape were used in friction and to calculate the WIWNU [12–14]. A surface profile
rheological experiments. Unwanted chemicals in the topography system (KLA-Tencor HRP-350) was used
slurry were removed by filtering and rinsing with DI to measure the surface roughness and the Cu dishing
water for three times. The thoroughly rinsed SiO2 NPs on Si wafers. Results of the WIWNU, the surface
were collected after drying at 40 °C for 24 h for future roughness, and the Cu dishing were presented
friction and rheological experiments. statistically.
Cu film (2 μm thick) coated silicon (Si) wafers
(Ø 300 mm) were used as target substrates for CMP
3 Results and discussion
experiments. These wafers were then CMPed with an
IKONICTM polishing pad (Rohm & Haas). The comparison of WIWNU before and after CMP
experiments in different slurries is shown in Fig. 1.
2.2 CMP experiment and Characterizations
The trend in the WIWNU after CMP is indicated by
All polishing experiments were conducted using a arrows. It is interesting to see that the WIWNU is
universal CMP tester. Polishing was conducted for reduced by 30% using the Y2O3 slurry. Using the
1 min. Wafers were placed face-down onto the commercial SiO2 slurry, on the contrary, it shows an
polishing pad. The applied pressure was 1 psi increase in the WIWNU by 48%. Meanwhile, the wafer
(6894.757 Pa), and rotation speeds of the pad and the polished using the Y2O3 slurry also has better surface
wafer were maintained at 79 rpm and 76 rpm, quality. As shown in Fig. 2, wafers polished using the
respectively. The speeds were kept close to each other Y2O3 slurry have lower arithmetic averaged surface
for good uniformity in wafer planarization. Each roughness than that polished with the SiO2 slurry. To
slurry was used to polish four wafers. understand the effects of abrasives on WIWNU and
Frictional behaviors and rheological properties of the surface roughness, frictional and rheological results
slurry were examined. In order to solely investigate are shown in Figs. 3 and 4, respectively. In Fig. 3, it is
the frictional behaviors and rheological properties of observed that the Y2O3 slurry has lower friction
SiO2 NP and Y2O3 NS, the measurements were con- coefficient than the SiO2 slurry. In Figs. 4(a) and 4(b),
ducted in DI water. Friction experiments of Cu wafers it is clear that the SiO2 slurry with higher concentration
were carried out using a tribometer (CSM Instruments). has the larger slope in shear stress-shear rate plots.
IC1000 polishing pads (Rohm & Haas) with SiO2 With the increase in SiO2 concentration, the slurry
(3 wt%) and Y2O3 (3 wt%) slurries were used in friction becomes more viscous. Viscosity is directly related to
experiments. Friction coefficients were recorded during the friction and mass transfer among fluid layers [15].
each test for 60 cycles (20 mm per cycle, 20 mm/s) with The change in slope of the shear stress-rate plots
an applied pressure of 80 kPa. An AR-G2 rheometer implies movement of one fluid layer respect to another
(TA Instruments) was used to measure the change with significant mass transfer. This is the evidence of
of shear stress with shear rate ranging from 30 s–1 to a turbulent flow [16]. With the same concentration,
Friction 1(4): 327–332 (2013) 329
Fig. 4 Results of rheological measurements: (a) The comparison of shear stress-shear rate plots in different slurries with different
abrasive concentrations; (b) variation of shear stress to shear rate in SiO2 slurries with different concentrations; (c) the clear comparison
of shear stress-shear rate plots in different slurries with the same abrasive concentration (3 wt%); (d) variation of shear stress to shear
rate in Y2O3 slurries with different concentrations.
The CMP conducted using the Y2O3 slurry obtained [3] Zantye P B, Kumar A, Sikder A K. Chemical mechanical
little dishing. planarization for microelectronics applications. Mater Sci
Eng R Rep 45: 89–220 (2004)
[4] Joo S, Liang H. Tribo-electrochemical characterization of
4 Conclusion copper with patterned geometry. Microelectron Eng 98:
12–18 (2012)
A new slurry containing Y2O3 NS was developed
[5] Su J, Chen X, Du J, Guo D, Kang R. Analyzing on nonuni-
for CMP applications. Results showed that a slurry
formity of material removal in silicon wafer cmp based
containing 3 wt% Y2O3 NS could reduce the WIWNU
on abrasive movement trajectories. Adv Mater Res 53–54:
for 30% whereas the commercial SiO2 slurry increased 119–124 (2008)
WIWNU for 48%. Low dishing (17 Å) was obtained [6] Hocheng H, Tsai H Y, Tsai M S. Effects of kinematic variables
using Y2O3 slurry comparing to that commercial SiO2 on nonuniformity in chemical mechanical planarization. Int
slurry (22 Å). This is due to the fact that the sheet- J Mach Tool Manu 40: 1651–1669 (2000)
shaped nanoprticles promote a uniform contact [7] Feng T. Nonuniformity of wafer and pad in CMP: Kinematic
pressure distribution at the interface between a pad aspects of view. IEEE Trans Semicond Manuf 20: 451–463
and wafer. These nanosheets are believed to increase (2007)
the laminate flow resulting efficient slurry transport. [8] Kim H, Jeong H. Effect of process conditions on uniformity
The current study opens new approaches to develop of velocity and wear distance of pad and wafer during
slurries and is beneficial to optimize the manufacturing chemical mechanical planarization. J Electron Mater 33:
processes in microelectronics. 53–60 (2004)
[9] Lee H, Park B, Jeong H. Influence of slurry components on
uniformity in copper chemical mechanical planarization.
Acknowledgements Microelectron Eng 85: 689–696 (2008)
[10] Sikder A K, Giglio F, Wood J, Kumar A, Anthony M.
The authors wish to acknowledge the support of Optimization of tribological properties of silicon dioxide
TAMU-NSFC. The authors wish to thank Mr. Nezar during the chemical mechanical planarization process. J
Nabelsi for proofreading the manuscript. Electron Mater 30: 1520–1526 (2001)
[11] He X, Joo S, Xiao H, Liang H. Boron-based nanoparticles
Open Access: This article is distributed under the terms for chemical-mechanical polishing of copper films. ECS J
of the Creative Commons Attribution Noncommercial Solid State Sci Technol 2: P20–P25 (2013)
License which permits any noncommercial use, distri- [12] Kasai T, Bhushan B. Physics and tribology of chemical
bution, and reproduction in any medium, provided mechanical planarization. J Phys: Condens Matter 20: 225011
the original author(s) and source are credited. (2008)
[13] Chemali C E, Moyne J, Khan K, Nadeau R, Smith P, Colt
J, Chapple-Sokol J. Multizone uniformity control of a
Electronic Supplementary Material: The nano-sheet
chemical mechanical polishing process utilizing a pre- and
shape of Y2O3 NS which is shown with an Atomic force
postmeasurement strategy. J Vac Sci Technol A 18: 1287–1296
microscopy (AFM) image in Fig. S1 is available in the
(2000)
online version of this article at http://dx.doi.org/10.1007/
[14] Tso P, Wang Y, Tsai M. A study of carrier motion on a
s40544-013-0017-z.
dual-face CMP machine. J Mater Process Technol 116:
194–200 (2001)
References [15] Ward-Smith J. Mechanics of Fluids, 9 Edition. New York
(USA): CRC Press, 2011.
[1] Liang H, Craven D. Tribology in Chemical-Mechanical [16] Taylor G. The dispersion of matter in turbulent flow through
Planarization. Boca Raton (USA): CRC Press, 2005. a pipe. Proc R Soc Lond A 223: 446–468 (1954)
[2] Ein-Eli Y, Starosvetsky D. Review on copper chemical– [17] Spalding D B. Mass transfer in laminar flow. Proc R Soc
mechanical polishing (CMP) and post-CMP cleaning in Lond A 221: 78–99 (1954)
ultra large system integrated (ULSI)—An electrochemical [18] Luo J, Dornfeld D A. Material removal mechanism in
perspective. Electrochim Acta 52: 1825–1838 (2007) chemical mechanical polishing: Theory and modeling. IEEE
332 Friction 1(4): 327–332 (2013)
Trans Semicond Manuf 14: 112–133 (2001) (CMP). IEEE Trans Semicond Manuf 16: 477–485 (2003)
[19] Bozkaya D, Müftü S. A material removal model for cmp [27] Nguyen V H, Daamen R, van Kranenburg H, van der Velden
based on the contact mechanics of pad, abrasives, and wafer. P, Woerlee P H. A physical model for dishing during metal
J Electrochem Soc 156: H890–H902 (2009) CMP. J Electrochem Soc 150: G689–G693 (2003)
[20] Runnels S R, Eyman L M. Tribology analysis of chemical- [28] Vlassak J J. A contact-mechancis based model for dishing
mechanical polishing. J Electrochem Soc 141: 1698–1701 and erosion in chemical-mechanical polishing. Mater Res
(1994) Soc Symp 671: M4.6.1–M4.6.6 (2001)
[21] Chen J M, Fang Y-C. Hydrodynamic characteristics of the [29] Saka N, Lai J Y, Chun J H, Shu N P. Mechanisms of the
thin fluid film in chemical-mechanical polishing. IEEE chemical mechanical polishing (CMP) process in integrated
Trans Semicond Manuf 15: 39–44 (2002) circuit fabrication. CIRP Ann Manuf Technol 50: 233–238
[22] Liang H. Chemical boundary lubrication in chemical- (2001)
mechanical planarization. Trobol Int 38: 235–242 (2005) [30] Kondo S, Sakuma N, Homma Y, Goto Y, Ohashi N,
[23] Grover G S, Liang H, Ganeshkumar S, Fortino W. Effect of Yamaguchi H, Owada N. Abrasive-free polishing for copper
slurry viscosity modification on oxide and tungsten CMP. damascene interconnection. J Electrochem Soc 147: 3907–
Wear 214: 10–13 (1998) 3913 (2000)
[24] Nolan L, Cadien K. Copper CMP: The relationship between [31] Chiu J, Yu C, Shen S. Application of soft landing to
polish rate uniformity and lubrication. ECS J Solid State Sci the process control of chemical mechanical polishing.
Technol 1: P157–P163 (2012) Microelectron Eng 65: 345–356 (2003)
[25] Lin S, Wu M. A study of the effects of polishing parameters [32] Denardis D, Sorooshian J, Habiro M, Rogers C, Philipossian
on material removal rate and non-uniformity. Int J Mach A. Tribology and removal rate characteristics of abrasive-free
Tool Manu 42: 99–103 (2002) slurries for copper CMP applications. Jpn J Appl Phys 42:
[26] Fu G, Chandra A. An analytical dishing and step height 6809–6814 (2003)
reduction model for chemical mechanical planarization
Xingliang HE. He is a PhD candidate and Chinese Academy of Sciences, China, in 2006 and
at Department of Mechanical 2010, respectively. His current research area includes
Engineering, Texas A&M University, synthesis and characterizations of nanomaterials for
USA. He received his BS and MS semiconductor processing, lubrication, and wear &
degrees in Materials Physics and corrosion resistance.
Chemistry from Yunnan University,
Hong LIANG. Professor of Depart- and industry. She is a fellow of American Society of
ment of Mechanical Engineering Mechanical Engineers (ASME) and a fellow of Society
and Materials Science Engineering, of Tribologists and Lubrication Engineers (STLE). She
at Texas A&M University, USA. Dr. has maintained long-standing interests and activity
Liang has extensive experience in in tribology, surface science, chemical-mechanical
academia, government laboratories, planarization, and nanomanufacturing.
Friction 1(4): 333–340 (2013)
DOI 10.1007/s40544-013-0027-x ISSN 2223-7690
RESEARCH ARTICLE
Abstract: Since the beginning of the systematic study of wear, many classification schemes have been devised.
However, though covering the whole field in sum, they stay only loosely connected to each other and do not
build a complete general picture. To this end, here we try to combine and integrate existing approaches into a
general simple scheme unifying known wear types into a consistent system. The suggested scheme is based on
three classifying criterions answering the questions “why”, “how” and “where” and defining a 3-D space filled
with the known wear types. The system can be used in teaching to introduce students to such complex
phenomena as wear and also in engineering practice to guide wear mitigation initiatives.
Keywords: relative motion; energy dissipation; surface disturbance; surface state; surface damage
(2) unacceptable damage consisting of (a) seizure, Table 1 Normalized classifying criterions used in key classification
schemes.
(b) fretting damage, (c) mechanical form of abrasive
Year Author(s) Classifying criterion(s)
wear, (d) rolling fatigue (pitting), and (e) other forms
of damage, such as corrosion, erosion, cavitation, and 1938 Siebel 1. Relative motion
crushing. Classification suggested by Czichos in 1978 1956 Archard & Hirst 1. Damage severity
integrated some of the previous approaches and relied 1957 Burwell 1. Damage mechanism
on the type of relative motion, the interacting elements, 1976 Kostetskii et al. 1. Damage severity
and the dominant wear mechanism as three classifying 2. Damage mechanism
criterions [12]. The distinguished classes of wear 1978 Czichos 1. Relative motion
were (1) sliding wear, (2) rolling wear, (3) impact wear, 2. Interacting elements
(4) fretting wear, (5) cavitation wear, and (6) fluid 3. Damage mechanism
erosion ordered into a table of six rows representing 1987 Lim & Ashby 1. Interaction mechanism
the relative motion types grouped by the interacting 2. Damage severity
elements and four columns representing the main
wear mechanisms able to act in various combinations determines the interacting elements, (2) mechanism
within each of the six classes of wear. Classification of what happens to the surface, when interaction
suggested by Lim and Ashby in 1987 relied on the mechanism refers to the process and damage mech-
mechanism of surface interaction as a classifying anism refers to the result, and (3) damage severity. It
criterion [13]. The distinguished classes of wear were is easy to assume that the generalized classification
(1) seizure, (2) melt-dominated wear, (3) oxidation- of wear should also rest on the system of three
dominated wear, and (4) plasticity-dominated wear, independent axes. Supported by this assumption and
while the last two groups were additionally subdivided based on previous studies, we will now proceed to
into (a) mild and (b) severe wear subclasses. the following in an attempt to derive all classifiers
To the best of my judgement, these schemes make a from the common source.
list of the most important approaches to classification Wear is defined as the damage to a solid surface,
of wear. However, though covering the whole field in generally involving progressive loss of material, due
sum, they stay only loosely connected to each other to relative motion between that surface and a
and do not build a complete general picture. In trying contacting substance or substances [14]. Based on this
to introduce students to such complex phenomena simple definition, we can recognise three classifying
as wear when teaching undergraduate course on criterions according to which the system has to be
tribology, it became clear to me that there is a need to characterized. These are the answers to the following
devise a basic classification, which may present the questions: (1) Why does it happen? (2) How does it
state of the art before entering microscopic or even happen? and (3) Where does it happen? To make
nanoscale origins of wear. To this end, the goal of this the picture complete, it is probably worth adding
paper is to review, combine and integrate the existing that the other interrogative words used in gathering
approaches into a general scheme unifying known wear information seem not relevant, as the answers are
types into a consistent system. The target audience is known (who–wear process, what–damages the surface,
scholars who study, teach or start practicing solving when–continuously).
the wear-related problems.
2.1 Why?
classic) definition [15], is the relative cyclic motion inconsistency between, say, the coefficients of friction
between two solid bodies, having a non-uniform and the coefficients of wear. Analysing this list, we
distribution of local relative displacement at their come to the conclusion that the wear-related energy
contact. This type of motion is directly connected to losses are pooled from (a) generation of defects, leading
preliminary displacement [16], which always takes to internal material changes, and (b) generation of
place before gross sliding occurs. (2) Sliding, the relative heat, leading to increase in temperature activating
motion in the tangential plane of contact between interactions with external agents. Both items can be
two solid bodies [14]. To distinguish it from fretting, traced further, to let us distinguish between the
it is worth adding that sliding is the uniform relative following four processes to be united under the name
motion, which means that it is possible to neglect the of surface disturbance. (1) Storage of defects, which can
differences in distribution of local relative displace- appear or move to, and pile up at certain characteristic
ment at the contact zone. (3) Rolling, the relative motion locations. (2) Motion of defects, which can come and
between two non-conforming solid bodies whose leave, passing through a material volume under
surface velocities in the nominal contact location consideration. (3) Chemical interactions, which consist
are identical in magnitude, direction, and sense [14]. of reactions with active environmental elements to
(4) Impact, the relative cyclic motion between two solid form secondary surface films. (4) Physical interactions,
bodies that come in and out of contact. (5) Flow, the which consist of such processes as ablation, adsorption,
relative motion between a solid body and a fluid. and diffusion that remove existing or bring new
elements from and to the system.
2.2 How?
2.3 Where?
The question “how” illuminates the mechanism, which
can also be deduced from the above definition. The The question “where” defines the significance, which is
surface under consideration interacts with “a contacting related to the scale of the problem that may be clearly
substance or substances”, which results in external recognized on either macroscopic, or microscopic, or
forces exerted on it. Given the presence of relative nano level as surface colour, reflectivity, texture,
motion, these forces act through certain distances so integrity, homogeneity, etc. “Solid surface” is not merely
mechanical work is performed on the surface, and the an interface between the body and the outside world,
latter accumulates energy that has to be dissipated. but rather a complex layered system [18], whose
The amount of energy involved in this process actually behaviour is altered depending on what layers are
determines the form of surface damage [11], allowing involved in the processes of energy dissipation. Hence,
us to define the second classifying criterion based on a distinction in the scale of surface damage can be
energy dissipation. used as a third classifying criterion.
Examining the processes of conversion and Reviewing the scale of surface damage, we can
dissipation of mechanical energy taking place within recognise the following two types. (1) Normal state,
the topmost surface layers, we can list the following which is characterized by localization of damage
“losses”. The energy is expended on generation of within the outer (protective) surface layers due to the
structural defects (dislocations, stacking faults, cracks, dynamic equilibrium between the processes of surface
vacancies, misplacements, stripe patterns, etc.), stored destruction and formation of secondary surface films
as a result of elastic strains, emitted in the form of driven by chemical reaction with active environmental
phonons (acoustic waves and sound), photons (tribo- elements. (2) Pathological state, which is characterized
luminescence) and electrons (exo-electrons, Kramer by insufficient regeneration of disrupted protective
effect), and transformed into heat [17]. Interestingly, surface layers, resulting in that the “relative motion
all these processes constitute the ultimate origin of between that surface and a contacting substance or
friction [17], though not all of them give rise to substances” is accommodated within the deeper (bulk)
wear, which may probably explain the well-known layers and the basis material is torn [19].
336 Friction 1(4): 333–340 (2013)
Fig. 2 Normal wear determined by localization of damage within the self-regenerating secondary protective layers.
Fig. 3 Pathological wear types determined by relative motion and surface disturbance.
then the main mechanism of surface disturbance is (7) Abrasive wear, which appears in sliding, results
the storage of defects. If fretting wear is the dominant from scratching by hard particles trapped by or pro-
form of damage, then the other three mechanisms of tuberances projecting from the mating surface and is
surface disturbance act simultaneously promoting each characterized by the presence of parallel scratches in
other. Interestingly, depending on loading conditions the sliding direction. Interestingly, only a very small
and materials involved, different mechanisms may fraction of the contacting particles or protuberances
become more pronounced, which reflects in different may contribute to pure mechanical chip cutting
surface behaviour [26]. [29, 30], while the rest is only capable of deforming
(4) Fatigue wear, (5) Pitting and (6) Impact wear, which the surface. Deformation results in generation of
appear in sliding, rolling, and impact, respectively, numerous defects providing passageways for easy
result in abrupt surface destruction due to sub-surface diffusion of active atoms, such as oxygen, into the
cracks propagated by stress cycling [5, 27, 28]. Damaged lower surface layers, which change their mechanical
surfaces exhibit shallow or deep craters (pits) with properties due to chemical reactions further accelerated
sharp walls. Obviously, we will associate these types of by heating. It is known, for instance, that abrasive
wear with the storage of defects as the main mechanism wear of metals decreases significantly if oxygen is
of surface disturbance. removed from the surface environment [31]. Thus,
338 Friction 1(4): 333–340 (2013)
it seems that not only the direct cutting but also the and vibratory cavitation erosion [36]. Worn surfaces
ploughing action may contribute to the surface exhibit deep pits that are often getting larger towards
destruction if due to increased chemical activity of the the inside. Liquid-impact erosion is associated with
surface the width of the brittle outer layers becomes cyclic deformation, making it a fatigue-based process,
larger. Hence, in light of the above, we will associate which allows us to connect it to the storage of defects
abrasive wear with two simultaneously acting mech- as the main mechanism of surface disturbance.
anisms of surface disturbance, namely, motion of (11) Solid-particle erosion, which appears in flow,
defects and chemical interaction. Abrasive wear can results from ploughing or cutting by hard particles
also be further subdivided into 2- and 3-body abrasion, entrained in a flowing liquid or gas and is characterized
with larger relative contribution of the chemical by the presence of random impact sites with raised
interaction mechanism in the latter case. crater rims. In contrast to 3-body abrasive wear, where
(8) Solid-particle crushing, which appears in rolling the volume of the worn material depends on the normal
or impact, results from indentation of hard particles load and the sliding distance in solid-particle erosion,
trapped between the contacting surfaces and is the wear volume depends on the mass of particles
characterized by the presence of dent cavities of and the velocity at which they strike the surface [37].
random orientation. This type of damage is also Similar to abrasive wear and solid-particle crushing,
referred to as impact-abrasion [5, 32]. Similar to chemical processes accelerated by mechanical activation
abrasive wear, hard particles deform and activate the also play an important role in solid-particle erosion.
surface, which leads to formation of brittle secondary For example, it was demonstrated that, on one hand,
structures of significantly increased width and their the oxidation rates under erosion conditions are
subsequent destruction by other particles. It was found, dramatically higher than static oxidation rates [38],
for instance, that the presence of solid particles in while, on the other hand, the erosion rate is higher
lubricated rolling has led to about 60% less wear when under conditions of larger thickness of the oxide scale
the tests were performed in argon and about 40% less [39]. This allows us to associate solid-particle erosion
wear when anti-oxidant additive was used [33]. This with motion of defects and chemical interaction as
allows us to associate solid-particle crushing with well.
motion of defects and chemical interaction as well. (12) Ablation erosion, which appears in flow, results
(9) Adhesive wear, which appears mainly in sliding, from the heating of a surface induced by high-speed
but can also be present in rolling and impact, results passage of gas or electric discharges. These processes
from solid-state welding of contacting surfaces and are known by the names of gas erosion [40] and
subsequent destruction of the junctions formed spark erosion [41], respectively. Worn surfaces exhibit
[34, 35]. Damaged surfaces exhibit clear signs of random depressions and channels with scalloped
material transfer. Based on that the tendency of edges. Clearly, we will associate this type of wear
contacting surfaces to adhere arises from the attractive with physical interaction as the main mechanism of
forces between the surface atoms of the two materials, surface disturbance.
we will associate this type of wear with the physical
interaction as the main mechanism of surface
4 Discussion
disturbance.
(10) Liquid-impact erosion, which appears in flow, The suggested classification scheme seems to har-
results from repeated impacts induced by liquid monize the wear processes, while covering the whole
droplets impinging the surface or liquid jets hitting field without leaving any wear type outside, which
the surface due to the near-surface collapse of vapor creates a coherent view of the problem. Another
bubbles. The former process is known by the name question is whether the system can also be used in
of liquid-droplet erosion and the latter process is engineering practice to guide wear mitigation. And the
known by the name of cavitation erosion [5], with the answer is yes. However, its use is not in determining
latter being further subdivided into hydrodynamic the wear types that can be identified based on analysis
Friction 1(4): 333–340 (2013) 339
of contact conditions, examination of damaged surface interrogative words “why”, “how” and “where”.
and/or studies of wear debris, but rather in recognizing (2) A concept of surface disturbance mechanisms
the mechanisms of surface disturbance, which have suitable for description of various wear types is
to be fought in order to solve for wear problems. suggested based on analysis of wear-related energy
As a famous example, we can discuss adhesive and losses.
abrasive wear in the presence of lubrication or even (3) Known wear types seem to fit the suggested
humid air, which are long known to reduce the former scheme.
[34] and increase the latter [42] when much reactive (4) The scheme can be useful in engineering practice
fluid is used (e.g., water is replaced with oil) or just as to guide wear mitigation initiatives.
a result of increase in humidity. The more reactive is
the environment, the thicker are the secondary surface
Acknowledgements
layers. In the case of adhesive wear, where physical
interaction is the main mechanism of surface distur- I thank Grigory Halperin, Izhak Etsion, and Yuri
bance, thicker passive secondary structures separate Kligerman for helpful discussion.
better between active bulk layers and, hence, reduce
the interaction leading to lower wear. In the case of Open Access: This article is distributed under the terms
abrasive wear, where motion of defects and chemical of the Creative Commons Attribution License which
interaction are the main mechanisms of surface permits any use, distribution, and reproduction in any
disturbance, both thicker and thinner secondary medium, provided the original author(s) and source
structure patches may be fractured and removed in a are credited.
single contacting event due to their brittleness and/or
stress concentration at the boundary. However, the
wear rate will be obviously larger in the former case, References
as more material is removed at once. The base material [1] Mayr E, Bock W J. Classifications and other ordering
exposed after the fracture event is, of course, modified systems. J Zool Syst Evol Res 40: 169–194 (2002)
chemically immediately to enable further surface [2] Archard J F. Wear theory and mechanisms. In Wear Control
destruction. Handbook. New York: ASME, 1980: 35–80.
To summarize, it is worth adding that though the [3] Reti L, Ed. The Unknown Leonardo. New York: McGraw-Hill,
suggested approach may look oversimplified when 1974.
talking about such complex phenomena as wear and [4] Czichos H. In Tribology: A System Approach to the Science
do not refer to subtleties observed at the nanoscale and Technology of Friction, Lubrication and Wear. New
during the last decade, it seems to provide convenient York: Elsevier, 1978: 6–8.
and simple order into the diversity of wear processes [5] Gates J D, Gore G J. Wear of metals: philosophies and
and build a consistent general picture, which may practicalities. Mater Forum 19: 53–89 (1995)
facilitate understanding of surface evolution during [6] Hutchings I M. The challenge of wear. In Wear: Materials,
tribological interactions. As such, it can only delineate Mechanisms and Practice. New York: John Wiley & Sons,
each of the known wear types without going into the 2005: 1–7.
depth of underlying processes or giving detailed [7] Rabinowicz E. In Friction and Wear of Materials. New
York: John Wiley & Sons, 1965: 109–111.
examples. I hope that the reader will find the ideas
[8] Archard J F, Hirst W. The wear of metals under unlubricated
presented here useful, and more elaborated and
conditions. Proc Roy Soc A 236: 397–410 (1956)
detailed classification will come.
[9] Burwell J T. Survey of possible wear mechanisms. Wear 1:
119–141 (1957)
5 Conclusions [10] Kostetskii B I, Nosovskii I G, Karaulov A K, Bershadskii
L I, Kostetskaya N B, Lyashko V A, Sagach M F. In The
(1) A general unifying approach to classification of Surface Strength of Materials in Friction (in Russian). Kiev:
wear is suggested based on information-gathering Tekhnika, 1976: 36.
340 Friction 1(4): 333–340 (2013)
[11] Kostetskii B I. Structure and surface strength of materials in [26] Varenberg M, Halperin G, Etsion I. Different aspects of the
friction. Strength of Materials 13: 359–368 (1981) role of wear debris in fretting wear. Wear 252: 902–910 (2002)
[12] Czichos H. In Tribology: A System Approach to the Science [27] Rabinowicz E. In Friction and Wear of Materials. New
and Technology of Friction, Lubrication and Wear. New York: York: John Wiley & Sons, 1965: 190–194.
Elsevier, 1978: 97–104. [28] Czichos H. In Tribology: A System Approach to the Science
[13] Lim S C, Ashby M F. Wear-mechanism maps. Acta Metall and Technology of Friction, Lubrication and Wear. New
35: 1–24 (1987) York: Elsevier, 1978: 105–112.
[14] Standard terminology relating to wear and erosion. ASTM [29] Mulhearn T O, Samuels L E. The abrasion of metals: a
G40-01, 2001. model of the process. Wear 5: 478–498 (1962)
[15] Varenberg M, Etsion I, Halperin G. Slip index: a new unified [30] Tenenbaum M M. Resistance to Abrasive Wear (in Russian).
approach to fretting. Tribol Lett 17: 569–573 (2004) Moscow: Mashinostroenie, 1976: 73–76.
[16] Courtney-Pratt J S, Eisner E. The effect of a tangential force [31] Hutchings I M. In Tribology: Friction and Wear of Engineering
on the contact of metallic bodies. Proc Roy Soc A 238: 529– Materials. Oxford: Butterworth-Heinemann, 1992: 164–166.
550 (1957) [32] Khruschov M M. Principles of abrasive wear. Wear 28:
[17] Czichos H. In Tribology: A System Approach to the Science 69–88 (1974)
and Technology of Friction, Lubrication and Wear. New [33] Galperin [Halperin] G L. Study of ball-bearing lifespan in
York: Elsevier, 1978: 87–97. field-engine driveline (in Russian). PhD dissertation, Saratov
[18] Rabinowicz E. In Friction and Wear of Materials. New York: State Agrarian University, 1971: 12–21.
John Wiley & Sons, 1965: 71. [34] Rabinowicz E. In Friction and Wear of Materials. New York:
[19] Kostetskii B I, Nosovskii I G, Karaulov A K, Bershadskii L John Wiley & Sons, 1965: 125–166.
I, Kostetskaya N B, Lyashko V A, Sagach M F. In The [35] Czichos H. In Tribology: A System Approach to the Science
Surface Strength of Materials in Friction (in Russian). Kiev: and Technology of Friction, Lubrication and Wear. New York:
Tekhnika, 1976: 68–73. Elsevier, 1978: 119–123.
[20] Holmberg K, Laukkanen A. Wear models. In Handbook of [36] Garkunov D N. Tribotechnology (in Russian). Moscow:
Lubrication and Tribology, Volume II. Boca Raton: CRC Press, Mashinostroenie, 1985: 188–192.
2012: 1–21. [37] Hutchings I M. In Tribology: Friction and Wear of Engineering
[21] Czichos H. In Tribology: a System Approach to the Science Materials. Oxford: Butterworth-Heinemann, 1992: 171–197.
and Technology of Friction, Lubrication and Wear. New York: [38] Sundararajan G, Roy M. Solid particle erosion behaviour of
Elsevier, 1978: 123–126. metallic materials at room and elevated temperatures. Tribol
[22] Kato K. Classification of wear mechanisms/models. In Int 30: 339–359 (1997)
Wear: Materials, Mechanisms and Practice. New York: John [39] Roy M, Ray K K, Sundararajan G. An analysis of the
Wiley & Sons, 2005: 9–20. transition from metal erosion to oxide erosion. Wear 217:
[23] Mate C M. In Tribology on the Small Scale: A Bottom up 312–320 (1998)
Approach to Friction, Lubrication and Wear. New York: [40] Chiang K T. Hot gas erosion resistance of a vapor-deposited
Oxford, 2008: 325–326. Cu–Cr coating. Surf Coat Tech 114: 1–6 (1999)
[24] Waterhouse R B. In Fretting Corrosion. Oxford: Pergamon, [41] Wang B J, Saka N. Spark erosion behavior of silver-based
1972: 5. particulate composites. Wear 195: 133–147 (1996)
[25] Vingsbo O, Soderberg S. On fretting maps. Wear 126: 131– [42] Rabinowicz E. In Friction and Wear of Materials. New York:
147 (1988) John Wiley & Sons, 1965: 179–180.
Abstract: The mechanical and tribological properties of epoxy composites modified with microencapsulated wax
lubricant and multi-walled carbon nanotubes (MWCNTs) were investigated. The increased soft microcapsules
embedded in the epoxy matrices were responsible for the reduced micro-hardness and Young’s modulus of
the epoxy composites. It was found that the friction of the epoxy composites greatly decreased with increased
microcapsule content due to combined lubricating effects of the both wax lubricant and MWCNTs. As a result,
the wear of the epoxy composites apparently decreased with increased microcapsule content.
their tribological properties is essential for successful stirring rate of 500 rpm (Caframo, Model: BDC6015),
tribological applications. 3.17 g of an aqueous solution containing 37 wt%
In this study, a wax lubricant mixed with multi- formaldehyde was dropped into the emulsion. After
walled carbon nanotubes (MWCNTs) was microen- the final mixture was heated to 55 °C at a heating rate
capsulated through in-situ polymerization. The of 35 °C/h and agitated for 4 h, the microencapsulation
synthesized microcapsules were incorporated in epoxy process was stopped. The microcapsules were separated
matrix to form a new type of epoxy based composite. under vacuum with a coarse-fritted filter, which were
The microcapsule content was varied from 0.5 to 5 wt% then rinsed with DI water and dried for 24 h at RT.
to investigate the tribological properties of the epoxy Epoxy resin and hardener were mixed with a weight
composites with respect to microcapsule content. ratio of 3:1. The epoxy and composites with different
microcapsule contents from 0.5 to 5 wt% were moulded
and fully cured at RT.
2 Experimental details
2.2 Characterization
2.1 Materials
The root-mean-square surface roughnesses (Rq) of the
The urea (CO(NH2)2), formaldehyde (CH2O, PUF),
samples were measured using surface profilometry
ammonium chloride (NH4Cl), and sodium hydroxide
(Talyscan 150) with a diamond stylus of 4 μm in
(NaOH) were purchased from Sigma-Aldrich. The
diameter in a scan size of 2 mm × 2 mm. Five measure-
surfactant, ethylene maleic anhydride copolymer
ments on each sample were carried out to get an
(EMA), was purchased from MP Biomedicals. The
average Rq value.
MWCNTs were supplied by Chengdu Organic
The surface morphology of the samples was studied
Chemicals Co., Ltd. The diameter, length, number
using scanning electron microscopy (SEM, JEOL-
of walls, and bulk density of the MWCNTs according
JSM-5600LV).
to the manufacturer’s specifications were 5−20 nm,
The hardnesses and Young’s moduli of the samples
1−10 μm, 3−15, and 140−230 kg·m–3, respectively. The
were measured using a microindenter (MHT, CSM)
wax lubricant (Episol B2531, C14-C20) was ordered
from EP chem. International Pte. Ltd. Epoxy resin with a pyramidal shaped diamond tip of 20 μm in
(Epocote 1008 Part A) and hardener (Part B) both diameter. The indentation test was performed in a
were ordered from Shell AG. load control mode with a total load of 3 N. In each
The 1 wt% MWCNTs were dispersed into the wax indentation test, the loading and unloading rates and
lubricant by a two-step method: Sonication (Misonix, dwelling time at the peak load were 6 N/min, 6 N/min
Model: Sonicator 3000) in an ice-bath under power 30 and 5 s, respectively. The hardness and Young’s
watts for 10 min followed by homogenization (Ika, modulus of the samples were derived using Oliver &
Model: T18 basic Ultra-Turrax) for another 10 min at Pharr’s method and average values were taken from
10,000 rpm. Microcapsules were prepared by in-situ eight indentation measurements carried out at different
polymerization in an oil-in-water emulsion [20]. Under locations on each sample [21].
room temperature (RT) (about 22–24 °C), 50 mL The tribological properties of the samples were
deionized (DI) water, 1.25 g urea, 0.125 g NH4Cl, investigated using a ball-on-disc microtribometer (CSM)
0.125 g resorcinol (C6H6O2) and 12.5 mL of an aqueous operated in rotary mode at RT. Three tests were
solution containing 2.5 wt% ethylene maleic anhydride conducted on each sample to get average tribological
copolymer (EMA) were added into a 250 mL beaker results. In a test, a steel ball (Cr6) of 6 mm in diameter
placed in a temperature-controlled water-bath. The was rotated on a sample in a circular path of 2 mm in
pH of the mixture was adjusted to 3.5 by adding radius for about 20,000 laps at a sliding speed of
NaOH. After that, 15 mL of the MWCNT dispersed 2 cm/s under a normal load of 2 N. The wear tracks
wax lubricant was slowly poured into the mixture. on the samples were then measured using white light
When the mixture was emulsified for 10 min at a confocal imaging profilometry (Nikon L150).
Friction 1(4): 341–349 (2013) 343
3 Results and discussion the epoxy composite with the incorporation of 5 wt%
microcapsules, as shown in Fig. 2(b), indicates the
Figure 1(a) shows the SEM micrograph of the micro- improved uniformity of the epoxy composite. Although
capsules containing wax lubricant and MWCNTs, in the slightly protruded microcapsules above the surface
which the sizes of the microcapsules range from about
of the epoxy composite (5 wt% microcapsules) are
150 to 300 μm in diameter. As shown in Fig. 1(b), the
found in Fig. 2(b), its lower Rq value (~1.9 μm) than
cotton-like features observed on the rough surface of
that (~3.1 μm) of the epoxy is mainly attributed to
the microcapsule result from the dangling MWCNTs
the suppression of the pin holes on the surface of the
in the shell wall and the precipitation of urea-
composite.
formaldehyde (UF) nanoparticles [20]. In Fig. 1(c),
Figure 3 shows the hardnesses and Young’s moduli
the SEM micrograph of an artificially crushed
microcapsule clearly indicates a core-shell structure of the epoxy and composites with different microcapsule
of the microcapsule [22]. The MWCNTs are evidently contents. The hardness and Young’s modulus of
found and fairly dispersed on the interior surface of the epoxy matrix are about 198.5 MPa and 3.4 GPa,
the microcapsule with some agglomeration, as shown respectively. However, the increased content of micro-
in Fig. 1(d). capsules in the epoxy composites from 0.5 to 5 wt%
Figure 2 presents the surface topographies of the decreases the hardness and Young’s modulus of the
epoxy and epoxy composite with 5 wt% microcapsules. composites from about 177.8 MPa and 2.2 GPa to
In Fig. 2(a), pin holes can be clearly seen on the surface about 113 MPa and 1.5 GPa, respectively, which is a
of the epoxy, which may result from degassing or direct consequence of the lower hardness and elastic
rapid curing of the epoxy. However, the apparently modulus of the microcapsules with respect to those
lessened formation of the pin holes on the surface of of the epoxy matrix [23, 24].
Fig. 1 SEM micrographs of (a) microcapsules containing wax lubricant and MWCNTs, (b) an enlarged view of a microcapsule, (c) a
crushed microcapsule, and (d) an interior surface of a crushed microcapsule.
344 Friction 1(4): 341–349 (2013)
Fig. 2 Surface topographies of (a) epoxy and (b) epoxy composite with 5 wt% microcapsules measured using surface profilometry.
composite, reduces the wear of the rubbing surfaces, Figure 4(b) shows that the mean friction coefficient
and lessens the interactions between the rubbing of the epoxy composites significantly decreases
surfaces and wear particles. In addition, the MWCNTs from about 0.27 to 0.049 with increased microcapsule
incorporated in the embedded microcapsules in the content from 0.5 to 5 wt% as the friction coefficients
epoxy composite can be released during the wear of of the epoxy composites are apparently lower than
the composite and transferred to the interface between that (about 0.54) of the epoxy. It is clear that the
the steel ball and composite. Thus, the MWCNTs increased microcapsule content promotes the combined
released serve as a solid lubricant to reduce the friction lubricating effects of the wax lubricant and MWCNTs
of the composite [15−19]. Moreover, the MWCNTs on and the free-rolling effect of the MWCTNs. Therefore,
the surface can not only serve as spacers to prevent the the microcapsule incorporated epoxy composites
direct contact between the steel ball and composite exhibit the much lower friction than the epoxy as
but also slide or roll between the rubbing surfaces [26]. the higher microcapsule content gives rise to the
Therefore, the combined lubricating effects of the lower friction of the epoxy composites. Guo et al. [10]
both wax lubricant and MWCNTs greatly reduce the reported that the incorporation of 10 wt% micro-
friction of the epoxy composite even with only 0.5 wt% capsules containing lubricant oil in an epoxy matrix
microcapsules. The increased microcapsule content could give the friction coefficient of about 0.14
in the epoxy composite through 5 wt% significantly measured using a block-on-ring apparatus. In this
shortens the running-in period and results in the study, the friction coefficient of the epoxy composite
consistently lowered friction coefficient of the com- with 5 wt% microcapsules containing both wax
posites as shown in Fig. 4(a). Since a smoother surface lubricant and MWCNTs measured using a ball-on-
can result in a lower friction, the reduced surface disc apparatus is about 0.049, indicating that the
roughness of the epoxy composites with increased co-incorporation of the wax lubricant and MWCNTs
microcapsule content (Fig. 2) should be correlated to in the microcapsules would give rise to the better
the decreased friction of the composites [27−31]. frictional performance of the composite.
Figure 5 shows the wear widths and depths of the
epoxy and epoxy composites with different micro-
capsule contents. It is found that the wear width and
depth of the epoxy are about 300.2 μm and 2.1 μm,
respectively. The incorporation of 0.5 wt% micro-
capsules in the epoxy matrix significantly decreases
the wear width and depth of the composite to about
233.5 μm and 1.6 μm, respectively. The wear width
and depth of the epoxy composites further decrease
from about 193.5 μm and 0.9 μm to about 68 μm and
Fig. 4 Friction coefficients of epoxy composites as functions of Fig. 5 Wear widths and depths of epoxy composites as a function
(a) the number of laps and (b) microcapsule content. of microcapsule content.
346 Friction 1(4): 341–349 (2013)
0.3 μm, respectively, with increased microcapsule clearly seen in the centre of the wear track where the
content from 2.5 to 5 wt%, indicating that the released most severe wear of the epoxy surface occurs as shown
lubricant effectively reduces the wear of the epoxy in Fig. 6(b) [32, 33].
composites during the sliding. The incorporation of 5 wt% microcapsules in the
Figure 6(a) shows the surface morphology of the epoxy greatly reduces the wear of the composite so the
worn epoxy after sliding against a steel ball for about wear of the composite is not as severe as that of the
20,000 laps under a normal load of 2 N. The wear track epoxy, as shown in Fig. 6(c). In addition, micro-cracks
on the surface of the epoxy shows that the rubbing and wave features are not apparently found on the
of the steel ball on the epoxy during the wear test surface of the epoxy composite with 5 wt% micro-
generates the abrasive wear of the epoxy as shown in capsules because the self-lubricating of the composite
Fig. 6(a). The wear track looks like a fish backbone surface effectively suppresses the surface fatigue
and some tiny cracks along the track can be found. wear. In Fig. 6(c), the surrounding areas of the broken
The cross-points of the cracks are probably near the microcapsules on the wear track of the epoxy com-
centre of the wear track and the cracks are convex posite are apparently contaminated by the released
with an angle of about 120° to the sliding direction. wax lubricant, which confirms that the wear of the
This arises from repeated stress concentration occurred composite surface during the sliding results in the
in front of the steel ball during the repeated sliding of breakage of the microcapsules and the subsequent
the steel ball on the epoxy surface. In addition, the release of the wax lubricant for self-lubricating.
repeated sliding causes surface fatigue that in turn Figure 6(d) shows a view of a broken microcapsule
initiates minute cracks perpendicular to the sliding on the wear track of the epoxy composite with 5 wt%
direction and propagates the cracks into the subsurface microcapsules, from which it can be seen that the
of the epoxy [32, 33]. The formation of a network of breakage of the microcapsule leaves a single hole on
micro-cracks creates micro-wave features that can be the surface. In addition, the debris produced by the
Fig. 6 SEM micrographs showing surface morphologies of worn (a and b) epoxy and (c and d) epoxy composite with 5 wt% microcapsules
at different magnifications.
Friction 1(4): 341–349 (2013) 347
and wear of epoxy composites reinforced by carbon nanotubes. acrylate) liquid rubber toughened epoxy resins. Polymer 42:
Wear 261: 806–811 (2006) 7739–7747 (2001)
[17] Li C, Chou T W. Elastic moduli of multiwalled carbon [25] Eliezer Z, Schulz C J, Barlow J W. Friction and wear properties
nanotubes and the effect of van der Waals forces. Compos of an epoxy-steel system. Wear 46: 397–403 (1978)
Sci Technol 63: 1517–1524 (2003) [26] Khun N W, Rincon Troconis B C, Frankel G S. Effects of
[18] Chen W X, Tu J P, Xu Z D, Chen W L, Zhang X B, Cheng carbon nanotube content on adhesion strength and wear
D H. Tribological properties of ni-P-multiwalled carbon and corrosion resistance of epoxy composite coatings on
nanotubes electroless composite coating. Mater Lett 57: AA2024-T3. Prog Orga Coat, http://dx.doi.org/10.1016/
1256–1260 (2003) j.porgcoat.2013.08.003 (2013)
[19] Wang C, Xue T, Dong B, Wang Z, Li H L. Polystyrene- [27] Archard J F. The temperature of rubbing surfaces. Wear 2:
acrylonitrile-CNTs nanocomposites preparations tribological 438–455 (1959)
behavior research. Wear 265: 1923–1926 (2008) [28] Ashby M F, Abulawi J, Kong H S. Temperature maps for
[20] Brown E N, Kessler M R, Sottos N R, White S R. In situ frictional heating in dry sliding. Tribol Trans 34: 577–587
poly(urea-formaldehyde) microencapsulation of dicyclopen- (1991)
tadiene. J Microencap 20: 719–730 (2003) [29] Svahn F, Rudolphi A K, Wallen E. The influence of surface
[21] Oliver W C, Pharr G M. An improved technique for roughness on friction and wear of machine element coatings.
determining hardness and elastic modulus using load and Wear 254: 1092–1098 (2003)
displacement sensing indentation experiments. J Mater Res [30] Meine K, Schneider T, Spaltmann D, Santner E. The influence
7: 1564–1583 (1992) of roughness on friction. Part II. The influence of multiple
[22] Xiao D S, Rong M Z, Zhang M Q. A novel method for steps. Wear 253: 725–732 (2002)
preparing epoxy-containing microcapsules via UV irradiation- [31] Tabor D. Surface forces and surface interactions. J Colloid
induced interfacial copolymerization in emulsions. Polymer Interface Sci 58: 2–13 (1977)
48: 4765–4776 (2007) [32] Xing X S, Li R K Y. Wear behavior of epoxy matrix
[23] Yuan Y C, Rong M Z, Zhang M Q, Chen J, Yang G C, Li X composites filled with uniform sized sub-micron spherical
M. Self-healing polymeric materials using epoxy/mercaptan silica particles. Wear 256: 21–26 (2004)
as the healant. Macromolecules 41: 5197–5202 (2008) [33] Durand J M, Vardavoulias M, Jeandin M. Role of reinforcing
[24] Ratna D, Simon G P. Mechanical characterization and ceramic particles in the wear behavior of polymer-based model
morphology of carboxyl randomized poly(2-ethyl hexyl composites. Wear 181–183: 833–850 (1995)
Nay Win KHUN. He received his from the Nanyang Technological University, Singapore.
MS degree in “Mechanics and Proc- His research interests include thin films and coatings,
essing of Materials” in 2006 and his composite materials, corrosion, tribology and surface
PhD degree in “Thin Films Physics and interface.
and Electrochemistry” in 2011, both
Friction 1(4): 341–349 (2013) 349
Erjia LIU. He received his bachelor engineering from Catholic University of Leuven. He
degree in materials engineering joined the School of Mechanical and Aerospace
from Harbin University of Science Engineering at Nanyang Technological University in
& Technology, master degree in 1999. His current position is an associate professor.
materials engineering from Harbin His research interests include thin films and coatings,
Institute of Technology, and PhD carbon based materials, nanocomposites, nanotribology,
degree in metallurgy and materials and electrochemistry.
Friction 1(4): 350–358 (2013)
DOI 10.1007/s40544-013-0031-1 ISSN 2223-7690
RESEARCH ARTICLE
Keywords: fullerene-like carbon film; fluorine doping; hydrophobicity; mechanical properties; tribological
performance
significantly improve and modify the performances min at a discharge voltage of −800 V. During this time,
of films. Among them, fluorine incorporated DLC the working pressure was kept at 4.8 Pa. The working
films have attracted significant interest due to their gas of the deposition was composed of 300 sccm Ar,
superior low friction coefficient, low surface energy, low 10 sccm CH4, and 20 sccm H2. The diameters of the
internal stress, particularly excellent biocompatibility, upper electrode and the substrate holder were 300
and hydrophobic properties. Yu et al. [15] found that and 200 mm, respectively, and the distance between
the F content rapidly increased with the introduction the two electrodes was 5 cm. A negative pulsed voltage
of CF4, leading to a sharp reduction in the surface of 1,000 V and a duty-cycle of 80% were applied to the
energy of the film. Marciano [16] also reported that substrate, and the working pressure was kept at 15 Pa.
F-DLC films presented lower stress and surface free The deposition time was set as 120 min. The fluorine
energy as their F content increased. In addition, content was controlled by varying the CF4 gas flow to
according to Refs. [17, 18], the biocompatibility and 0, 1 sccm, 1.5 sccm, and 3 sccm. The substrate is not
hydrophobic properties can be effectively improved heated, while the temperature was unintentionally
by the incorporation of fluorine. Recently, a number increased to around 100℃ because of the plasma
of deposition technologies have been proposed for bombardment during the deposition process. After
the synthesis of F-FLC films [19–21]; the common processing, the samples were cooled down inside the
deposition technique involves the use of a pulsed chamber.
direct current plasma enhanced chemical vapor
deposition (dc-PECVD) system, which has several 2.2 Sample characterization
advantages such as low film stress, low deposition
temperature, and good uniformity on a large-area The thickness of the films was measured using cross-
substrate. section scanning electron microscope (SEM) images,
Considering the complexity and diversity of and the deposition rate can be obtained by the ratio
amorphous carbon film, in our present work, we of the thickness to the deposition time. The bonding
synthesized fluorine-incorporated hydrogenated structure and the chemical state were characterized
fullerene-like amorphous carbon films (F-FLC) by using Fourier Transform Infrared Spectroscopy
employing the pulsed dc-PECVD technique using a (FTIR) in the range of 400–4,000 cm–1, with a 2 cm–1
mixture of methane (CH4), tetra- fluoromethane (CF4), resolution and 32 scan times at room temperature.
and hydrogen (H2) gases. The aim of this work is to The microstructure of the films was characterized by
study the effect of the F content on the properties of VG ESCALAB 210 type X-ray photoelectron spectros-
FLC film, and to determine whether the hydrophobic, copy (XPS), with Al Kα radiation (photo energy
mechanical, and tribological properties of the FLC film 1476.6 eV) as the excitation source and using a Raman
can be significantly improved by fluorine doping. spectrometer (Jobin Yvon T64000) in back-scattering
configuration with laser excitation at a wavelength of
514.5 nm, which is over the 800–2,000 cm–1 wavenumber
2 Experimental details range. The surface topography was observed by a
Smart SPM type atomic force microscope (AFM,
2.1 Film deposition
AIST-NT Co, USA), and the relative roughness factor
All of the films were prepared on a Si substrate (Ra) was calculated by the analysis of a Nanoscope III
(n-100) using the dc-PECVD technique. The substrate 5.12r2 program. The hydrophobicity examination
was sequentially ultrasonic cleaned in ethanol and of the film was performed using purified water by
acetone for 10 min to remove surface stains, then employing the sessile drop method with a DSA100-
dried in the ambient atmosphere and placed into the type (KRUSS Co., Germany) contact angle goniometer,
chamber. Prior to the deposition, the vacuum chamber which has an accuracy of 2°. For each sample, 5
was evacuated up to 1.0 × 10–3 Pa, and then 300 sccm different surface location measurements were evaluated
argon was introduced into the chamber. The entire Si to obtain average values, and all measurements were
substrate was first cleaned by argon discharge for 30 reported as the mean of 5 replicates to obtain more
352 Friction 1(4): 350–358 (2013)
reliable data. The hardness and elastic recovery were the CF4 gas flow increases from 0 to 1 sccm, the
measured by a nanoindenter (Nano indenter Ⅱ, MTS. fluorine content increases from 0 (FLC) to 0.85 at.%
Co., USA) with a maximum indentation depth of (F-FLC1). As the CF4 gas flow increases further, the
50 nm. The tribological properties were tested using fluorine content increases from 1.21 at.% (F-FLC2) to
a UMT-2MT ball-on-plate reciprocating tribo-tester, 2.1 at.% (F-FLC3). The result implies that the fluorine
which slides at 25°C and at a relative humidity (RH) of content can be adjusted by varying the CF4 gas flow,
15%–19%. As the mating material, Al2O3 balls ( 5 mm) which is consistent with the results of many studies
were ultrasonic cleaned with acetone before each test. [22–24]. Furthermore, the deposition rate of the films
All of the measurements were performed at a sliding still increased slightly from 3.3 nm/min to 3.9 nm/min
velocity of 15 cm/s and with a 30 N load. The sliding as the CF4 gas flow increased from 0 to 3 sccm.
distance was 90 m. The specific friction coefficient was Figure 2(a) shows the FTIR spectrum of the films.
calculated by averaging the data of at least 5 individual The C−F vibrational modes for the wavenumber range
operations. After friction, the wear morphologies of of 400–2,200 cm−1 are listed in Table 1 [25, 26]. The
mating balls and scars of the films were observed by broad peak at 2,950 cm−1 for the CHn group and the
SEM. The wear volume was calculated by measuring bond at 1,600 cm−1 of C=C stretching are so weak that
the wear scars of the substrate with a three-dimensional it is not easily found. This indicates that there is little
profilometer, and then the specific wear rates (k) of hydrogen in the films. Besides, the FTIR spectrum
the films were obtained from Eq. (1) as follows can be divided into two groups: the absorption peak
located at 600 cm−1, which is associated with the CF2
k = V /( D·L) (1) wagging mode (Group Ⅰ), and a broad bond observed
where V is the wear volume of the samples, D is the in the range of 980–1,500 cm−1 (Group Ⅱ). The peak
sliding distance, and L is the sliding load.
Fig. 1 The dependence of the fluorine content and the deposition Fig. 2 (a) the FTIR spectrum and (b) the XPS F1s peak of the
rate on the CF4 gas flow. film as a function of the fluorine content.
Friction 1(4): 350–358 (2013) 353
Fig. 4 AFM images of the film with a fluorine content of (a) 0 and (b) 2.1 at.%.
Table 2 The surface roughness (Ra) of the films as a function angle is 65.5° for the FLC film, and quickly increases
of the fluorine content. to 78.2° for the F-FLC1 film. Eventually, the water
Samples Ra roughness (nm) contact angle reaches 90.1° for the F-FLC3 film. This
FLC 0.37 suggests that the increase in the water contact angle
F-FLC (F = 0.85 at.%) 0.59 is due mainly to the incorporation of F, which is
F-FLC (F = 1.21 at.%) 0.72 consistent with Ref. [30]. It is clear that the increase in
F-FLC (F = 2.10 at.%) 1.07 the water contact angle is due not only to the presence
of CFX bonds, but also to the surface roughness.
ions’ bombardment may contribute to the increased Previous studies [31, 32] have shown that the surface
surface roughness [29]. With the increase of the roughness can significantly affect the hydrophobicity
fluorine content, an increasing number of F+ ions of the films, as a smoother surface will result in a
bombarded the film surface, which would promote smaller water contact angle. As the fluorine content
increased surface roughness. increases, the surface roughness increases, as shown in
The hydrophobicity of the films was determined by Table 2, leading to the increased water contact angle.
the water contact angle. Figure 5 shows the variation
of the water contact angles as a function of the fluorine 3.4 Mechanical and tribological properties
content. Clearly, the water contact angle continuously
Figure 6 illustrates the hardness and elastic modulus
increases with the fluorine content. The water contact
of the films as a function of the fluorine content. The
lowest hardness of about 21.2 GPa and elastic modulus
of around 179 GPa were observed for the FLC film.
As the fluorine content increases, the hardness and
elastic modulus increase to 22.6 GPa and 184.1 GPa
for the F-FLC1 film, respectively. Ultimately, for the
F-FLC3 film, the hardness and elastic modulus increase
to 23.1 GPa and 191 GPa, respectively. Generally, the
hardness and elastic modulus of the film will decrease
due to the fluorine doping, which, because it is a
termination radical, could disrupt the continuity of
the C−C network [33]. However, in this study, the
hardness and elastic modulus monotonously increase
with the increasing fluorine content. The bombardment
effect of the F+ ions should be considered because the
Fig. 5 Water contact angles for the film with a fluorine content F+ ions bombardment results in not only an increase in
of (a) 0, (b) 0.85 at.%, (c) 1.21 at.%, and (d) 2.1 at.%. the film density, but also the increase of compressive
Friction 1(4): 350–358 (2013) 355
4 Conclusions References
Fluorine incorporated hydrogenated fullerene-like [1] Cho G, Yen B K, Klug C A. Structural characterization of
nanostructure carbon films were deposited on the Si sputtered hydrogenated amorphous carbon films by solid
wafer using the dc-PECVD technique. The fluorine state nuclear magnetic resonance. J Appl Phys 104: 013531
content increased from 0 to 2.1 at.% as the CF4 gas (2008)
flow increased from 0 to 3 sccm. The influence of the [2] Qiang L, Zhang B, Zhou Y, Zhang J Y. Improving the
internal stress and wear resistance of DLC film by low
fluorine content on the bonding structure, hydro-
content Ti doping. Solid State Sci 20: 17−22 (2013)
phobicity, and mechanical and tribological properties
[3] Zhao F, Li H X, Ji L, Wang Y J, Zhou H D, Chen J M.
of the films were investigated systematically. Both the
Ti-DLC films with superior friction performance. Diam
fluorine content and deposition rate increased with
Relat Mater 19: 342−349 (2010)
the increase of the CF4 gas flow. The FTIR and XPS [4] Wang A Y, Lee K R, Ahn J P, Han J H. Structure and
analyses indicated that the incorporated fluorine atoms mechanical properties of W incorporated diamond-like carbon
exist in the form of C−FX (X = 1, 2, 3). Raman spectros- films prepared by a hybrid ion beam deposition technique.
copy showed that the fullerene nanostructure has been Carbon 44: 1826−1832 (2006)
successfully embedded in the amorphous carbon film. [5] Wang Q, Wang C B, Wang Z, Zhang J Y. Fullerene
The AFM images suggested that the surface roughness nanostructure-induced excellent mechanical properties in
increased with the increase of the fluorine content, hydrogenated amorphous carbon. Appl Phys Lett 91: 141902
which may be due to the bombardment of the F+ ions. (2007)
As a result, the hydrophobicity, which is assessed [6] Wang Z, Wang C B, Zhang B, Zhang J Y. Ultralow friction
using the water contact angles, has been effectively behaviors of hydrogenated fullerene-like carbon films: Effect
of normal load and surface tribochemistry. Tribol Lett 41:
improved. However, the hardness and elastic modulus
607−615 (2008)
were not reduced, but with an increase tendency
[7] Gago R, Jim´enez I, Neidhardt J, Abendroth B, Caertti I,
although the degree of the increase is very small,
Hultman L. Correlation between bonding structure and
which may be attributed to the slight increases in the
microstructure in fullerene-like carbon nitride thin films.
film density and the compressive stress induced by the
Phys Rev B 71: 125414−125416 (2005)
F+ ion bombardment. In addition, the lower friction [8] Wang X, Wang P, Mu B, Yang S R, Zhang J Y. Effects of
coefficient and outstanding wear resistance could also Ar/H/N-ion bombardment on the surface free energy and
be obtained by fluorine doping, which is mainly due friction behavior of the fullerene-like hydrogenated carbon
to the excellent mechanical properties. (FL-C:H) film. Surf Interface Anal 40: 1475−1480 (2008)
[9] Srinivasan S, Tang Y, Li Y S, Yang Q, Hirose A. Ion beam
deposition of DLC and nitrogen doped DLC thin films for
Acknowledgements
enhanced haemocompatibility on PTFE. Appl Surf Sci 258:
The authors are grateful to the National Key Basic 8094−8099 (2012)
[10] Lubwama M, McDonnell K A, Kirabira J B, Sebbit A,
Research and Development (973) Program of China
Sayers K, Dowling D, Corcoran B. Characteristics and
(Grant No. 2013CB632300) and the National Natural
tribological performance of DLC and Si-DLC films deposited
Science Foundation of China (Grant Nos. 51275508 and
on nitrilerubber. Surf Coat Tech 206: 4585−4593 (2012)
51205383) and the Ministry of Science and Technology
[11] Hatada R, Flege S, Baba K, Ensinger W, Kleebe H J,
of China (Grant No. 2010DFA63610) for financial
Sethmann I. Temperature dependent properties of silicon
support. containing diamond like carbon films prepared by plasma
source ion implantation. J Appl Phys 107: 083307-083307-6
Open Access: This article is distributed under the terms (2010)
of the Creative Commons Attribution License which [12] Bendavid A, Martin P J, Randeniya L, Amin M S. The
permits any use, distribution, and reproduction in any properties of fluorine containing diamond-like carbon films
medium, provided the original author(s) and source prepared by plasma-enhanced chemical vapor deposition.
are credited. Diamond & Related Materials 18: 66−71 (2009)
Friction 1(4): 350–358 (2013) 357
[13] Kanda K, Yamada N, Yokota K, Tagawa M, Niibe M, Okada of fluorinated amorphous carbon thin films. J Non-cryst
M, Haruyama Y, Matsui S. Fabrication of fluorine-terminated Solids 291: 153−159 (2001)
diamond-like carbon thin film using a hyperthermal atomic [23] Chou C C, Wu Y Y, Lee J W, Yeh C H, Huang J C.
fluorine beam. Diam Relat Mater 20: 703−706 (2011) Characterization and haemocompatibility of fluorinated
[14] Bendavid A, Martin P J, Randeniya L, Amin M S, DLC and Si interlayer on Ti6Al4V. Surf Coat Tech 231:
Rohanizadeh R. The properties of fluorine-containing 418−422 (2013)
diamond-like carbon films prepared by pulsed DC plasma- [24] Rubio-Roy M, Bertran E, Pascual E, Polo M C, Andújar J
activated chemical vapour deposition. Diam Relat Mater 19: L. Fluorinated DLC deposited by pulsed-DC plasma for
1466−1471 (2010) antisticking surface applications. Diam Relat Mater 17:
[15] Yu G Q, Tay B K, Sun Z. Fluorinated amorphous diamond- 1728−1732 (2008)
like carbon films deposited by plasma-enhanced chemical [25] Bottani C E, Lamperti A, Nobili L, Ossi P M. Structure and
vapor deposition. Surf Coat Tech 191: 236−241 (2005) mechanical properties of PACVD fluorinated amorphous
[16] Marciano F R, Lima-Oliveira D A, Da-Silva N S, Corat E J, carbon films. Thin Solid Films 433: 149−154 (2003)
Trava-Airoldi V J. Antibacterial activity of fluorinated [26] Oh T, Choi C K, Lee K M. Investigation of a-C:F films
diamond-like carbon films produced by PECVD. Surf Coat as hydrogenated diamond-like carbon and low-k materials.
Tech 204: 2986−2990 (2010) Thin Solid Films 475: 109−112 (2005)
[17] Yao Z Q, Yang P, Huang N, Sun H, Wang J. Structural, [27] Robertson J. Diamond-like amorphous carbon. Mater Sci
mechanical and hydrophobic properties of fluorine-doped Eng R Rep 37: 129−281 (2002)
diamond-like carbon films synthesized by plasma immersion [28] Wang C B, Yang S R, Li H X, Zhang J Y. Elastic properties
ion implantation and deposition (PIII–D). Appl Surf Sci 230: of a-C:N:H films. J Appl Phys 101: 013501 (2007)
172−178 (2004) [29] Dai W, Zheng H, Wu G S, Wang A Y. Effect of bias voltage
[18] Ahmed M H, Byrne J A, McLaughlin J. Evaluation of on growth property of Cr-DLC film prepared by linear ion
glycine adsorption on diamond like carbon (DLC) and beam deposition technique. Vacuum 85: 231−235 (2010)
fluorinated DLC deposited by plasma-enhanced chemical [30] Ishihara M, Suzuki M, Watanabe T, Nakamura T, Tanaka
vapor deposition (PECVD). Surf Coat Tech 209: 8−14 A, Koga Y. Synthesis and characterization of fluorinated
(2012) amorphous carbon films by reactive magnetron sputtering.
[19] Buijnsters J G, Camero M, Vázquez L, Agulló-Rueda F, Diam Relat Mater 14: 989−993 (2005)
Gago R, Jiménez I, Gómez-Aleixandre C, Albella J M. [31] Koshel D, Ji H, Terreault B, Cote A, Ross G G, Abel G,
Tribological study of hydrogenated amorphous carbon films Bolduc M. Characterization of CFx films plasma chemically
with tailored microstructure and composition produced deposited from C3F8/C2H2 precursors. Surf Coat Tech 173:
by bias-enhanced plasma chemical vapour deposition. Diam 161−171 (2003)
Relat Mater 19:1093–1102 (2010) [32] Neumann A W. Contact angles and their temperature
[20] Wang P, Wang X, Liu W M, Zhang J Y. Growth and structure dependence: Thermodynamic status, measurement, inter-
of hydrogenated carbon films containing fullerene-like pretation and application. Adv Colloid Interface Sci 4:
structure. J Phys D: Appl Phys 41: 085401 (2008) 105−191 (1974)
[21] Xiong Z W, Jiang F, Chen X R. Structural and optical [33] FreireJr F L, Maia da Costa M E H, Jacobsohn L G,
properties of fullerene-like amorphous carbon with embedded Franceschini D F. Film growth and relationship between
dual-metal nanoparticles. J Alloy Compd 574: 13–17 (2013) microstructure and mechanical properties of a-C:H:F
[22] Valentini L, Braca E, Kenny J M, Lozzi L, Santucci S. films deposited by PECVD. Diam Relat Mater 10: 125−131
Relationship between the optical and mechanical properties (2001)
358 Friction 1(4): 350–358 (2013)
Keywords: abrasive-free polishing; material removal rate; initiator; hard disk substrate
Further, these damages are difficult to remove using agent added into deionizer (DI) water to obtain the H2O2
the CMP technique, resulting in faulty products. For slurry. 0.7 wt%–4.2 wt% (CH3)3COOH-Na2S2O5 was used
the above reasons, an alternative process is to reduce or as the initiator to obtain H2O2-C4H10O2-Na2S2O5 slurry.
eliminate the abrasives in the slurry. Compared with The molar ratio of (CH3)3COOH: Na2S2O5 was 1:1.
the CMP process, in the abrasive-free polishing (AFP)
process, the oxidation film is removed by the soft 2.2 Abrasive-free polishing tests
polishing pad without the abrasives. Therefore, it will
Polishing tests were conducted using a UNIPOL-1502
not cause defects in the AFP process. In addition, there
polishing equipment (Shenyang Kejing instrument,
is a simpler post-clean process for materials polished
Co. LTD, China). The down force was 0.80 psi and the
by AFP [10], which enables significant reductions in
plate rotating speed was 80 rpm. The polishing time
the cost of the product.
was 30 min. Work pieces were φ95 mm × 1.25 mm
In 2000, a Japanese researcher [10] developed a aluminum alloy disk substrates that were NiP plated;
completely abrasive-free process for Cu damascene the plated layer consists of about 85 wt% nickel and
metallization, which provided a very clean, scratch- 15 wt% phosphorus elements. The polishing pad was
free, and anticorrosive surface. Since then, AFP has a Rodel porous polyurethane pad. The supply rate of
attracted the interest of many researchers, and it has the slurry was 160 mL/min. After polishing, the hard
been successfully applied to the surface planarization disk substrates were washed by ultrasonic cleaning in
of materials such as Al metal film [11], GaN [12], Si, a cleaning solution containing 0.5 wt% surfactant in DI
and SiC [13]. To the best of our knowledge, there have water. Finally, they were dried by a multifunctional
been few reports of surface machining of hard disk drying system. The mass of the hard disk substrate
substrates that use the AFP process. was measured by an analytical balance both before
Oxidizers play a key role in the AFP process. Up to and after the AFP process.
the present, due to its very strong oxidation capacity,
and the fact that decomposed product is water (which 2.3 Observation of the surface morphology of the
is environmentally friendly), H2O2 has been chosen substrates
as the preferred oxidizer for use in the AFP process.
However, the decomposition energy of H2O2 is The surface roughness is a parameter that is most
54 kcal/mol, which causes it to decompose too slowly commonly used to evaluate the quality of a surface.
at room temperature to be completely effective. In our A white light interferometer (NanoMap WLI, Caep
previous studies, the use of Cu (Ⅱ) [14] or potassium Technology Corp., U.S.A) with a solution of 0.1 Å
was used to measure the average roughness (Ra) and
peroxydisulfate-sodium hydrogensulfite (K2S2O8-
morphology of the polished substrate surface. The
NaHSO3) as catalyzers [15] in the H2O2 slurry promoted
measurement area was 100 μm × 100 μm.
the decomposition of H2O2, and caused it to exhibit a
much higher material removal rate (MRR); a better 2.4 Electrochemical measurement of the substrates
substrate surface was obtained compared to that of
the H2O2 slurry under the same conditions after All of the electrochemical experiments were carried
the AFP process. In this paper, we investigated the out using a Solartron electrochemical workstation in
effect of tert-butyl hydroperoxide-sodium pyrosulfite a conventional three electrode system. The electrolyte
((CH3)3COOH-Na2S2O5) as the free radical initiator solutions were prepared from the slurries. One of the
system for H2O2 slurry on the hard disk substrate AFP. slurries was the H2O2 slurry, and the other was the
H2O2 slurry containing 3.5 wt% of initiator. The round
hard disk sheet sealed with epoxy was used as the
2 Experiment
working electrode, and its diameter was 10 mm. The
2.1 Preparation of the abrasive-free slurry counter electrode was a Pt electrode and the reference
electrode was a saturated calomel electrode (SCE). The
A series of abrasive-free slurries was prepared, and we potentiodynamic polarization plots were acquired by
used 5 wt% H2O2 as the oxidant and 6 wt% dispersing scanning the working electrode in the potential range
Friction 1(4): 359–366 (2013) 361
from −0.60 V to +0.20 V at a scan rate of 1 mV/s, and the Figure 1 clearly shows that as the concentration of
electrochemical impedance spectroscopy (EIS) spectrum the C4H10O2-Na2S2O5 initiator increases, the MRR
was acquired in the frequency range of 106 Hz to 0.1 Hz increases gradually, but it begins to decrease when
with a potential amplitude of 10 mV rms. the initiator’s concentration is above 3.5 wt%. The
maximum MRR (H2O2 slurry containing 3.5 wt% of
2.5 Electron spin-resonance spectroscopy (EPR) test
C4H10O2-Na2S2O5 initiator) is almost 5 times that of
of the slurries
the minimum MRR (H2O2 slurry), which indicates
The concentration of free radicals in the H2O2 slurry that the C4H10O2-Na2S2O5 initiator enhances the MRR
and the H2O2 slurry containing 3.5 wt% of initiator of the hard disk substrate in the H2O2-baesd slurry at
was measured by EMX EPR (Center field: 3518.07 G, the same polishing condition. It may be inferred that
scan width: 100 G, microwave power: 20 mW, scan the chemical effect of the slurry is improved
time: 5.24 s, scanning frequency: 6 times). Dimethyl dramatically by the C4H10O2-Na2S2O5 initiator.
In addition, the maximum MRR at 3.5 wt% of the
pyridine-N-oxide (DMPO) was added as trapping
initiator may be due to the moderate ratio of the
agent.
oxidant to the initiator, while the amount of initiator
2.6 Element examination of hard disk substrate is 3.5 wt% and the oxidant is 5 wt%. This means that
surface there is an ideal rate of oxidant decomposition due
to free radicals promoted by the initiator. In this
To study the chemical reaction between the slurry and situation, the oxidation reaction rate of the free radical
the disk substrate, we conducted a static immersion is much higher than its quenching rate, so the disk
test of the disk substrate in the slurries. One of the substrate has a maximal MRR. However, when the
slurries was the H2O2 slurry, and the other was the initiator concentration increases to 4.2 wt%, the de-
H2O2 slurry containing 3.5 wt% of initiator. After 48 h composition of the oxidant promoted by the initiator
immersion at room temperature, the disk substrates is too quick, and the quenching rate of the free radical
were cleaned and dried. Then, the contents of the may be higher than the oxidation reaction rate, so the
elements and their deep distribution in the polished disk substrate has a smaller MRR.
surfaces were analyzed using PHI 680-Auger electron Further, 3D images of the surface morphology of
spectroscopy (AES, beam voltage: 5 kV, beam current: the disk substrates are shown in Fig. 2, which clearly
10 nA, Ar ion beam: 2 kV 1 mm × 1 mm, sputter rate shows that the protuberance on the non-polished
(relative to SiO2) is 6 nm/min). substrate surface can be partly removed after polishing
with the H2O2 slurry. However, it still failed to achieve
an adequate global flat surface (Fig. 2(b)). However,
3 Results and Discussion
4 10 9 m
MRR (1)
d 2 t
it’s the global flat surface (Fig. 2(c)) after polishing with
the H2O2-C4H10O2-Na2S2O5 slurry and the nano-asperity
peaks are hardly observed. Besides, the H2O2-C4H10O2- Fig. 3 Potentiodynamic polarization plots of hard disk substrates
Na2S2O5 slurry has a Ra of 17.8 nm, which is nearly in the H2O2 slurry and the H2O2-C4H10O2-Na2S2O5 slurry.
Friction 1(4): 359–366 (2013) 363
the C4H10O2-Na2S2O5 initiator promotes the corrosion 3.2.2 Comparison of the oxidizing ability of the slurries
of the disk surface in the kinetics. In this case, the hard
It is known that the oxidation performance of H2O2
disk substrate in the H2O2-C4H10O2-Na2S2O5 slurry
solution is very high because H2O2 can be decomposed
can be etched faster than that with the H2O2 slurry.
into hydroxyl free radicals, which shows a stronger
Therefore, the enhancement of the electrochemical
oxidizing ability. It may be inferred that the solution’s
corrosion can help to increase the MRR of the hard disk
oxidizing ability can be determined by the con-
substrate in AFP processes with the H2O2-C4H10O2-
centration of free radicals in it. To investigate the free
Na2S2O5 slurry.
radical’s change caused by the C4H10O2-Na2S2O5
In the previous CMP studies, He et al. [19] analyzed
initiator, we conducted EPR tests of the two slurries,
the oxide film thickness of Cu surfaces in different
as shown in Fig. 5.
media by using the impedance spectra, and reported
that the magnitude of the impedance can be used to There are four obvious spectral peaks in the EPR
characterize the oxide film formed on the Cu surface. spectrum of H2O2 slurry (Fig. 5(a)), and the relative
Here, the impedance spectra were used to characterize intensity of the peaks is 1:2:2:1. This indicates a typical
the oxide film of the hard disk substrate in the slurries. EPR spectrum of hydroxyl free radical [20]. The EPR
Fig. 4 shows the impedance spectra of the hard disk spectrum of the H2O2-C4H10O2-Na2S2O5 slurry is shown
substrate in the H2O2-C4H10O2-Na2S2O5 slurry (Fig. 4(b)) in Fig. 5(b), and shows that the four spectral peaks of
and the H2O2 slurry (Fig. 4(a)), respectively. All of the hydroxyl free radical in Fig. 5(a) also exist in the spectra
spectra show the same pattern, i.e., two linked of Fig. 5(b). In addition, the peak intensity of these
depressed semicircle. A comparison of the two spectra four spectral peaks of the spectrum in Fig. 5(b) is even
shows that the magnitude of the impedance at low 150 times that shown in Fig. 5(a). It can be concluded
frequencies is significantly smaller for the surface on that the concentration of hydroxyl free radicals in the
a hard disk substrate in the H2O2-C4H10O2-Na2S2O5 H2O2-C4H10O2-Na2S2O5 slurry is much larger than that
slurry. This indicates that the polarization resistance in the H2O2 slurry. The reason may be that the C4H10O2-
of the surface is effectively decreasing. Accordingly, it Na2S2O5 initiator can strongly induce the generation
can be inferred that the oxide film formed on the of the hydroxyl free radical (as seen in Eq. (2), Eq. (4),
substrate surface in the H2O2-C4H10O2-Na2S2O5 slurry and Eq. (5)). In Fig. 5(b), it is also noted that there are
may be very loose, and can be removed easily and also three small spectral peaks with an intensity of
quickly by the polishing pad in AFP. Consequently, the about 2.5 × 105, which may be alkoxy free radicals (as in
MRR of the hard disk substrate in the AFP process Eq. (2)). The possible reaction is shown as Eqs. (2)–(5).
with the H2O2-C4H10O2-Na2S2O5 slurry becomes large, The C4H10O2-Na2S2O5 initiator in the H2O2 slurry may
which is consistent with the results of polishing tests. greatly enhance the oxidation ability of the slurry since
Fig. 4 The EIS spectra of hard disk substrate in the H2O2 slurry (a) and the H2O2-C4H10O2-Na2S2O5 slurry (b).
364 Friction 1(4): 359–366 (2013)
Fig. 5 EPR analysis of two slurries: (a) the H2O2 slurry; (b) the H2O2-C4H10O2-Na2S2O5 slurry.
it can strongly induce the generation of the hydroxyl the atomic concentrations of the elements Ni and P
free radical and the alkoxy free radical. present a similar increasing trend, that is, it first
increases and then fluctuates slightly as the sputter
ROOH RO· + ·OH (2) time increases. At the same time, the atomic con-
centrations of the elements O and C present a similar
HO–OH HO· + ·OH (3)
decreasing trend and are then stabilized. In addition,
4ROOH + S2O52– from the spectra of the two slurries before sputtering,
we found that the atomic concentration of the elements
4RO· + 2SO42– + 2H+ + H2O (R: (CH3)3C–) (4)
Ni, P, and O were 15 wt%–40 wt%, 0 wt%–10 wt%, and
RO·+ HO–OH HO· + RO–OH (5) 20 wt%, respectively. In comparison with that consisting
of 85 wt% Ni and 15 wt% P for the plated NiP substrate,
Next, AES was conducted to observe the element the introduction of the element O and the reduction
components and their deep distribution in the surface in the atomic concentration of Ni and P imply that an
of hard disk substrate. Figure 6 shows the element oxidization reaction may occur between the substrate
components in the surface of the hard disk substrate and the two slurries.
after the immersion test in the H2O2 slurry (Fig. 6(a)) Further, the thickness of the oxide film formed on
and the H2O2-C4H10O2-Na2S2O5 slurry (Fig. 6(b)). A the substrate surface in the slurries can be estimated
comparison of the two slurries’ spectra shows that by the sputter time taken to remove O. The sputter
Fig. 6 AES analysis of the hard disk substrate soaked in (a) the H2O2 slurry and (b) the H2O2-C4H10O2-Na2S2O5 slurry.
Friction 1(4): 359–366 (2013) 365
time for the removal of O is 0.19 min and 1.07 min for Open Access: This article is distributed under the terms
the H2O2 slurry and the H2O2-C4H10O2-Na2S2O5 slurry, of the Creative Commons Attribution License which
respectively. The sputter rate (relative to SiO2) is permits any use, distribution, and reproduction in any
6 nm/min. Therefore, we can estimated that the medium, provided the original author(s) and source
thickness of the oxide film formed in the H2O2 slurry are credited.
and the H2O2-C4H10O2-Na2S2O5 slurry is about 1.14 nm
and 6.42 nm, respectively. This means that the oxidizing
Reference
ability of the H2O2-C4H10O2-Na2S2O5 slurry is much
greater than that of the H2O2 slurry, which is consistent [1] Zhang W, Lu X C, Liu Y H, Pan G S, Luo J B. Effect of pH
with the results of the EPR analysis. on material removal rate of Cu in abrasive-free polishing.
In summary, the electrochemical analysis of the hard J Electrochem Soc 156(3): 176–180 (2009)
disk substrate shows that the H2O2-C4H10O2-Na2S2O5 [2] He X L, Chen Y Y, Zhao H J, Sun H M, Lu X C, Liang H.
slurry possesses stronger electrochemical corrosion Y2O3 nanosheets as slurry abrasives for chemical-mechanical
ability than the H2O2 slurry. At the same time, EPR and planarization of copper. Friction, DOI 10.1007/s40544-013-
0017-z, in press (2013)
AES analyses show that the H2O2-C4H10O2-Na2S2O5
[3] Lei H, Bu N J, Chen R L, Hao P, Neng S M, Tu X F, Yuen
slurry has a stronger oxidizing ability than the H2O2
K. Chemical mechanical polishing of hard disk substrate with
slurry because of the large number of hydroxyl free
-alumina-g-polystyrene sulfonic acid composite abrasive.
radicals induced by the C4H10O2-Na2S2O5 initiator. Thin Solid films 518: 3792–3796 (2010)
Consequently, the MRR of the substrate polished [4] Balakumar S, Haque T, Senthil Kumar A, Rahman M, Kumar
with the H2O2-C4H10O2-Na2S2O5 slurry is much larger R. Wear phenomena in abrasive-free copper CMP process.
than that with the H2O2 slurry. J Electrochem Soc 152(11): 867–874 (2005)
[5] Kim H J, Jang Y J, Choi J W, Kown B, Lee K, Ko Y S.
Tribological approaches to material removal rate during
4 Conclusion chemical mechanical polishing. Met Mater Int 19(2): 335–339
(2013)
The addition of the C4H10O2-Na2S2O5 initiator into
[6] Lei H, Zhang P Z, Lu H S. Sub-nanometer precision polishing
H2O2-based slurry can greatly improve the MRR and
of glass substrate with a colloidal SiO2 slurry. Lubr Eng
surface planarization of the hard disk substrate in the
137(1): 31–34 (2006)
AFP process. This improvement may be attributed to [7] Pandija S, Roy D, Badu S V. Chemical mechanical
the effect of the C4H10O2-Na2S2O5 initiator. The rate of planarization of copper using abrasive-free solutions of oxalic
the corrosion reaction and the rate of the oxidation acid and hydrogen peroxide. Mater Chem Phys 102: 144–151
reaction of the hard disk substrate were all accelerated (2007)
in the H2O2-C4H10O2-Na2S2O5 slurry. Also, due to the [8] Hao P, Lei H, Chen R L. Cerium-incorporated SBA-15-type
combined effect of these factors, the MRR of the hard materials for CMP: Synthesis, characterization and CMP
disk substrate increased dramatically. The results application on hard disk substrate. Int J Abras Tech 4(3):
imply that H2O2-C4H10O2-Na2S2O5 abrasive-free slurry 255–265 (2011)
possesses promising prospects in AFP. [9] Lei H, Zhang P Z. Preparation of alumina/silica core–shell
abrasives and their CMP behavior. Appl Surf Sci 253:
8754–8761 (2007)
Acknowledgements [10] Kondo S, Sakuma N, Homma Y, Goto Y, Ohashi N,
Yamaguchi H, Owada N. Abrasive-free polishing for copper
This work was supported by the National Natural damascene interconnection. J Electrochem Soc 147(10):
Science Foundation of China (Grant No. 51175317), 3907–3913 (2000)
Research Fund for the Doctoral Program of Higher [11] Hayashi Y, Kikuta K, Kikkawa T. A new abrasive-free,
Education of China (Grant No. 20123108110016), and chemical mechanical polishing technique for aluminum
Tribology Science Fund of State Key Laboratory of metallization of ULSI devices. In Proceedings of IEEE
Tribology (No. SKLTKF11B06). International Electron Devices Meeting, San Francisco, CA,
USA, 1992: 976–978.
366 Friction 1(4): 359–366 (2013)
[12] Hayashi S, Koga T, Goorsky M S. Chemical mechanical Res 690–693: 3222–3225 (2013)
polishing of GaN. J Electrochem Soc 155(2): 113–116 (2008) [17] Wang Z J, Lei H, Zhang W T, Zhao R. Cu (II) as a catalyst
[13] Hara H, Sano Y, Mimura H, Arima K, Kubota A, Yagi K, for hydrogen peroxide system abrasive-free polishing on
Murata J, Yamauchi K. Novel abrasive-free planarization of hard disk substrate. Key Eng Mater 562–565: 91–95 (2013)
Si and SiC using catalyst. In 11th ICPE, Tokyo, Japan, 2006: [18] Chen S S, Lei H, Chen R L. Effect of pH on hard disk
267–270. substrate polishing in glycine-hydrogen peroxide system
[14] Lei H, Jiang L, Chen R L. Preparation of copper-incorporated abrasive-free slurry. Key Eng Mater 562–565: 691–696
mesoporous alumina abrasive and its CMP behavior on hard (2013)
disk substrate. Power Tech 219: 99–104 (2012) [19] He H W, Hu Y H, Zhou K Z, Xiong X. Corrosion and passiva-
[15] Zhang W T, Lei H. Abrasive-free polishing of hard disk tion of copper in the CMP slurry of CH3NH2-K3[Fe(CN)6]
substrate with H2O2-K2S2O8-NaHSO3 slurry. Adv Mater Res (in Chinese). J Func Mater 35(3): 392–394 (2004)
690–693: 3209–3212 (2013) [20] Lu J W. Advanced Electron Paramagnetic Resonance
[16] Zhao R, Lei H. Effect of K2S2O8 on material removal rate Spectroscopy and Its Applications. Beijing (China): Peking
in abrasive-free polishing of hard disk substrate. Adv Mater University medical press, 2012.
Weitao ZHANG. He received his China. Now, he is a Master candidate in the Research
Bachelor degree in material chemi- Center of Nano-Science and Nano-Technology,
stry in 2008 from Harbin Institute Shanghai University. His research interest is chemical
of Technology University, Harbin, mechanical polishing.
Hong LEI. He received his MS and University from 2003. His current position is a
PhD degrees in applied chemistry professor in the Research Center of Nano-Science and
from Huazhong University of Science Nano-Technology, Shanghai University. His research
and Technology in 1996 and 2001, interests include functional abrasives, CMP slurry,
respectively. He joined Shanghai and post-CMP cleaning.
Friction 1(4): 367 (2013)
DOI 10.1007/s40544-013-0036-9 ISSN 2223-7690
ERRATUM
Erratum to
Friction 1(2): 186–194 (2013)
DOI 10.1007/s40544-013-0012-4
It should read
Total Contents
Vol. 1, No. 1
Review
Method of reduction of dimensionality in contact and friction mechanics: A linkage between micro and
macro scales / 41–62
Valentin L. POPOV
Research Article
Running-in process of Si–SiOx /SiO2 pair at nanoscale—Sharp drops in friction and wear rate during
initial cycles / 81–91
Lei CHEN, Seong H. KIM, Xiaodong WANG, Linmao QIAN
Vol. 1, No. 2
Guest editorial: Special issue on bio-tribology / 99
Zhongmin JIN, Ming ZHOU
Review
Bio-friction / 100–113
Zhongmin JIN, Duncan DOWSON
Friction ISSN 2223-7690
Recent advances in gecko adhesion and friction mechanisms and the development of gecko-inspired
dry adhesive surfaces / 114–129
Ming ZHOU, Noshir PESIKA, Hongbo ZENG, Yu TIAN, Jacob ISRAELACHVILI
Research Article
Use of opposite frictional forces by animals to increase their attachment reliability during
movement / 143–149
Zhouyi WANG, Yi SONG, Zhendong DAI
Damage due to rolling in total knee replacement—The influence of tractive force / 178–185
Markus A. WIMMER, Lars BIRKEN, Kay SELLENSCHLOH, Erich SCHNEIDER
Short Communication
Vol. 1, No. 3
Review
Research Article
Lithium-based ionic liquids as novel lubricant additives for multiply alkylated cyclopentanes
(MACs) / 222–231
Zenghong SONG, Yongmin LIANG, Mingjin FAN, Feng ZHOU, Weimin LIU
Static/dynamic friction and wear of some selected polymeric materials for conformal tribo-pairs
under boundary lubrication conditions / 232–241
Daniel NILSSON, Braham PRAKASH
ISSN 2223-7690 Friction
Mechanism of friction reduction of unsaturated fatty acids as additives in diesel fuels / 252–258
Jean Michel MARTIN, Christine MATTA, Maria-Isabel De Barros BOUCHET, Cyrielle FOREST,
Thierry Le MOGNE, Thomas DUBOIS, Michael MAZARIN
Triboelectric behaviors of materials under high speeds and large currents / 259–270
Yongzhen ZHANG, Zhenghai YANG, Kexing Song, Xianjuan PANG, Bao SHANGGUAN
Effect of dicarboxylic acid esters on the lubricity of aviation kerosene for use in CI engines / 271–278
G. ANASTOPOULOS, S. KALLIGEROS, P. SCHINAS, F. ZANNIKOS
Vol. 1, No. 4
Review
Scratch formation and its mechanism in chemical mechanical planarization (CMP) / 279–305
Tae-Young KWON, Manivannan RAMACHANDRAN, Jin-Goo PARK
Research Article
Mechanical and tribological properties of epoxy matrix composites modified with microencapsulated
mixture of wax lubricant and multi-walled carbon nanotubes / 341–349
Nay Win KHUN, He ZHANG, Jinglei YANG, Erjia LIU
Erratum