High-Gate Dielectrics: Current Status and Materials Properties Considerations
High-Gate Dielectrics: Current Status and Materials Properties Considerations
Surface chemistry of atomic layer deposition: A case study for the trimethylaluminum/water
process
Journal of Applied Physics 97, 121301 (2005); https://doi.org/10.1063/1.1940727
Crystallinity of inorganic films grown by atomic layer deposition: Overview and general trends
Journal of Applied Physics 113, 021301 (2013); https://doi.org/10.1063/1.4757907
clude performance 共speed兲, low static 共off-state兲 power, and (V D /L) along the channel direction. Initially, I D increases
a wide range of power supply and output voltages.1 This has linearly with V D and then eventually saturates to a maximum
been accomplished by developing the ability to perform a when V D,sat⫽V G ⫺V T to yield
calculated reduction of the dimensions of the fundamental
W 共 V G ⫺V T 兲 2
active device in the circuit: the field effect transistor I D,sat⫽ C inv . 共2兲
共FET兲—a practice termed ‘‘scaling.’’2–4 The result has been L 2
a dramatic expansion in technology and communications The term (V G ⫺V T ) is limited in range due to reliability
markets including the market associated with high- and room temperature operation constraints, since too large a
performance microprocessors as well as low static-power ap- V G would create an undesirable, high electric field across the
plications, such as wireless systems.5 oxide. Furthermore, V T cannot easily be reduced below
It can be argued that the key element enabling the scal- about 200 mV, because kT⬃25 mV at room temperature.
ing of the Si-based metal–oxide–semiconductor field effect Typical specification temperatures 共⭐100 °C兲 could there-
transistor 共MOSFET兲 is the materials 共and resultant electri- fore cause statistical fluctuations in thermal energy, which
cal兲 properties associated with the dielectric employed to iso- would adversely affect the desired the V T value. Thus, even
late the transistor gate from the Si channel in CMOS devices in this simplified approximation, a reduction in the channel
for decades: silicon dioxide. The use of amorphous, ther- length or an increase in the gate dielectric capacitance will
mally grown SiO2 as a gate dielectric offers several key ad- result in an increased I D,sat .
vantages in CMOS processing including a stable 共thermody- In the case of increasing the gate capacitance, consider a
namically and electrically兲, high-quality Si–SiO2 interface as parallel plate capacitor 共ignoring quantum mechanical and
well as superior electrical isolation properties. In modern depletion effects from a Si substrate and gate兲6
CMOS processing, defect charge densities are on the order of
1010/cm2, midgap interface state densities are ⬃1010/ ⑀ 0 A
C⫽ , 共3兲
cm2 eV, and hard breakdown fields of 15 MV/cm are rou- t
tinely obtained and are therefore expected regardless of the where is the dielectric constant 共also referred to as the
device dimensions. These outstanding electrical properties relative permittivity in this article兲 of the material,7 ⑀ 0 is the
clearly present a significant challenge for any alternative gate permittivity of free space (⫽8.85⫻10⫺3 fF/ m), A is the
dielectric candidate. area of the capacitor, and t is the thickness of the dielectric.
This expression for C can be rewritten in terms of t eq 共i.e.,
equivalent oxide thickness兲 and ox 共⫽3.9, dielectric con-
II. SCALING AND IMPROVED PERFORMANCE stant of SiO2兲 of the capacitor. The term t eq represents the
theoretical thickness of SiO2 that would be required to
The industry’s demand for greater integrated circuit achieve the same capacitance density as the dielectric 共ignor-
functionality and performance at lower cost requires an in- ing issues such as leakage current and reliability兲. For ex-
creased circuit density, which has translated into a higher ample, if the capacitor dielectric is SiO2, t eq⫽3.9⑀ 0 (A/C),
density of transistors on a wafer.3 This rapid shrinking of the and a capacitance density of C/A⫽34.5 fF/ m2 corresponds
transistor feature size has forced the channel length and gate to t eq⫽10 Å. Thus, the physical thickness of an alternative
dielectric thickness to also decrease rapidly. As will be dis- dielectric employed to achieve the equivalent capacitance
cussed in the next few sections, the current CMOS gate di- density of t eq⫽10 Å can be obtained from the expression
electric SiO2 thickness can scale to at least 13 Å, but there
are several critical device parameters that must be balanced t eq t high⫺
⫽
during this process. ox high⫺
The improved performance associated with the scaling
high⫺ high⫺
of logic device dimensions can be seen by considering a or simply, t high⫺ ⫽ t eq⫽ t . 共4兲
simple model for the drive current associated with a FET.1 ox 3.9 eq
The drive current can be written 共using the gradual channel A dielectric with a relative permittivity of 16 therefore af-
approximation兲 as fords a physical thickness of ⬃40 Å to obtain t eq⫽10 Å. 共As
冉 冊
noted above, actual performance of a CMOS gate stack does
W VD not scale directly with the dielectric due to possible quantum
I D⫽ C inv V G ⫺V T ⫺ VD , 共1兲
L 2 mechanical and depletion effects.兲6
From a CMOS circuit performance point of view, a per-
where W is the width of the transistor channel, L is the chan- formance metric considers the dynamic response 共i.e., charg-
nel length, is the channel carrier mobility 共assumed con- ing and discharging兲 of the transistors, associated with a spe-
stant here兲, C inv is the capacitance density associated with cific circuit element, and the supply voltage provided to the
the gate dielectric when the underlying channel is in the in- element at a representative 共clock兲 frequency. A common
verted state, V G and V D are the voltages applied to the tran- element employed to examine such switching time effects is
sistor gate and drain, respectively, and the threshold voltage a CMOS inverter.1 This circuit element is shown in Fig. 1
is given by V T . It can be seen that in this approximation the where the input signal is attached to the gates and the output
drain current is proportional to the average charge across the signal is connected to both the n-type MOS 共nMOS兲 and
channel 共with a potential V D /2兲 and the average electric field p-type MOS 共pMOS兲 transistors associated with the CMOS
J. Appl. Phys., Vol. 89, No. 10, 15 May 2001 Appl. Phys. Rev.: Wilk, Wallace, and Anthony 5245
再 冎
transistor design and scaling, including parasitics, must be
p⫹ n 1 carefully considered in order to increase the circuit
¯ ⫽ ⫽C LOADV DD n . 共6兲
2 I D ⫹I Dp performance.8
The load capacitance in the case of a single CMOS inverter
is simply the gate capacitance if one ignores parasitic contri- III. METAL–INSULATOR–SEMICONDUCTOR „MIS…
butions such as junction and interconnect capacitance. GATE STACK STRUCTURES
Hence, an increase in I D is desirable to reduce switching Figure 3 provides the reader a schematic overview of the
speeds. For more realistic estimates of microprocessor per- various regions associated with the gate stack of a CMOS
formance, the load capacitance is connected 共‘‘fanned out’’兲 FET 共regions are separated simply to clarify the following
to other inverter elements in a predetermined fashion. When discussion兲. The gate dielectric insulates the gate electrode
coupled with other NMOS/PMOS transistor pairs in the con- 共gate兲 from the Si substrate. Gate electrodes in modern
figuration shown in Fig. 1, one can create a logic ‘‘NAND’’ CMOS technology are composed of polycrystalline Si 共poly-
gate which can be used to investigate the dynamic response Si兲 which can be highly doped 共e.g. by ion implantation兲 and
of the transistors and thus examine their performance under subsequently annealed in order to substantially increase con-
such configurations. For example, in microprocessor esti- ductivity. The selection of the dopant species and concentra-
mates, a fan out of F⫽3 is often employed, as shown in
Fig. 1.1
One can then characterize the performance of a circuit
共based on a particular transistor structure兲 through this
switching time. To do this, various ‘‘figures of merit’’
共FOM兲 have been proposed which incorporate parasitic ca-
pacitance as well as the influence of gate sheet resistance on
the switching time.8 For example, a common FOM employed
is related to Eq. 共6兲 simply by
1 2
FOM⬵ ⫽ . 共7兲
¯ p ⫹ n
In the case where parasitics are ignored, it is easily seen
then that an increase in the device drive current I D results in
a decrease in the switching time and an increase in the FOM
value 共performance兲. Even in this simple model, however,
the incorporation of parasitic effects, results in the ‘‘clamp-
ing’’ of FOM improvement, despite an increase in the gate FIG. 3. Schematic of important regions of a field effect transistor gate stack.
5246 J. Appl. Phys., Vol. 89, No. 10, 15 May 2001 Appl. Phys. Rev.: Wilk, Wallace, and Anthony
tion of capacitor structures. Work is underway to find suit- where ⌽ M is the metal work function, is the semiconductor
able metal gates for CMOS 共see Sec. VI E兲. electron affinity, E g is the semiconductor band gap, ⌽ B is the
The interfaces with either the gate or the Si channel re- potential barrier between the metal and dielectric, and B is
gion are particularly important in regard to device perfor- the potential difference between the Fermi level E F and the
mance. These regions, ⬃5 Å thick, serve as a transition be- intrinsic Fermi level, E I . Under these conditions, the energy
tween the atoms associated with the materials in the gate bands are flat across the structure as shown in Fig. 4 and V
electrode, gate dielectric and Si channel. As will be dis- ⫽V FB⫽0, where V FB is the flat band voltage 共i.e., the volt-
cussed, these interface regions can alter the overall capaci- age required to bring the Fermi levels into alignment兲. A
tance of the gate stack, particularly if they have a thickness more typical case is that the Fermi levels of the electrode and
which is substantial relative to the gate dielectric. Addition- substrate are misaligned by an energy difference, and a volt-
ally, these interfacial regions can be exploited to obtain de- age (V FB⫽0) must be applied to bring the Fermi levels into
sirable properties. The upper interface, for example, can be alignment.
engineered in order to block boron outdiffusion from the p ⫹ Many dielectrics exhibit a fixed charge (Q f ), however,
poly-Si gate. The lower interface, which is in direct contact resulting in a required applied voltage V⫽V FB⫽0 to achieve
with the CMOS channel region, must be engineered to per- a flat band condition. The amount of fixed charge can be
mit low interface trap densities 共e.g. dangling bonds兲 and related to the measured V FB value by the expression9
minimize carrier scattering 共maximize mobility兲 in order to
obtain reliable, high performance. V FB⫽⌽ MS⫾Q f /C acc , 共9兲
It is instructive to consider the band diagrams for the where C acc is the measured capacitance in accumulation.
MIS structures discussed in this review. Figure 4 shows the Thus, a value for fixed charge density Q f can be determined
energy-band diagrams for ideal MIS diode structures using from measured values of V FB , ⌽ MS and C acc . The sign of
共a兲 n-type and 共b兲 p-type semiconductor substrates.9,10 For the fixed charge is also important, as negative fixed charge
these ideal structures, at V⫽0 applied voltage on the metal correlates with the plus sign in Eq. 共9兲, and positive fixed
gate, the work function difference between the metal and charge correlates with the minus sign. These expressions will
semiconductor, ⌽ MS , is zero be discussed further in Sec. V C 2.
冉 冊
The source of such fixed charge, often though not always
Eg positive, is thought to originate from the detailed bonding of
⌽ MS⫽⌽ M⫺ ⫹ ⫺⌿ B ⫽0; n-type
2q the atoms associated with the dielectric near the dielectric/
J. Appl. Phys., Vol. 89, No. 10, 15 May 2001 Appl. Phys. Rev.: Wilk, Wallace, and Anthony 5247
semiconductor interface. Several proposed explanations for An earlier ab inito model by Tang et al.13 of extremely
the cause of the observed fixed charge will be discussed in thin SiO2, which was modeled as a modified beta-
Sec. V C 2. Figure 4 shows that for positive Q f , a negative cristoballite phase, showed an important result, in that the
shift in the V FB from ideal conditions 共where V⫽0兲 is re- band gap of SiO2 did not begin to decrease until there were
quired for both n-type and p-type MIS structures. Similarly, fewer than three monolayers of oxide. Moreover, estimates
a positive V FB is required for negative Q f . of the changes in the associated conduction and valance band
Most of the alternate dielectric candidates examined to offsets for these systems indicated that a minimum of 7 Å of
date appear to have a substantial amount of fixed charge, SiO2 is required to obtain bulk properties. The recent first
which could present significant issues for CMOS applica- principles study by Neaton et al.14 determined that the local
tions. Given the scaling limitations on applied voltages due
energy gap in SiO2 is directly related to the number of O
to power consumption, shifts in the V FB value are undesir-
second nearest neighbors, for a given O atom. The last row
able and must be minimized. In some applications, biasing
of O atoms 共next to the Si substrate兲 by definition cannot
the substrate to compensate for the fixed charge has been
have the full six nearest neighbor O atoms. The second row
proposed.5 Moreover, a reproducible V FB 共correspondingly
V T for transistors兲 value is also required for stable, reliable of O atoms from the Si interface is thus the first layer of O
transistor operation. Thus, hysteretic changes in the V FB from atoms that have the required six second-nearest neighbor O
voltage cycling of less than 20 mV are often required. atoms. The distance required to obtain the full band gap of
Some dielectrics which incorporate aluminum, however, SiO2 at each interface is therefore given by 1.6 Å 共the spac-
thus far suggest that a negative fixed charge is present. It has ing of one Si–O bond length兲 ⫹2.4 Å 共the distance between
been recently proposed to combine Al ions with some alter- neighboring O atoms is 2.7 Å, but this is variable because of
nate dielectric candidates in order to compensate positive Si–O bond bending. The distance is typically in the range
and negative fixed charges to achieve a neutral state or, at ⬃2 to 2.4 Å兲. The thickness at each interface required for the
least, minimize such fixed charge effects.11 If fixed charge is full SiO2 band gap is therefore ⬃3.5–4.0 Å. Counting both
determined to be large and difficult to minimize and control interfaces, the total thickness of 7–8 Å is required, in agree-
in high- dielectrics, it will be a significant issue for obtain- ment with Tang et al.13 and with the experiment.12 These
ing the desired device performance on both nMOS and results set an absolute physical thickness limit of SiO2 of 7
pMOS transistors. The magnitude of measured V FB shifts for Å. Below this thickness, the Si-rich interfacial regions from
many alternate dielectrics will be discussed later. the channel and polycrystalline Si gate interfaces used in
MOSFETs overlap, causing an effective ‘‘short’’ through the
dielectric, rendering it useless as an insulator.
The agreement between the experiment and simulation
in these cases indicates that the inherent band gap of SiO2
IV. SCALING LIMITS FOR CURRENT GATE
DIELECTRICS remains intact, even down to only a few monolayers of ma-
terial. Other important properties of SiO2 have been reported
The previous sections outlined the need to scale oxide in the ultrathin, sub-20 Å regime, such as the conduction
thicknesses to improve performance. The next two sections band offset ⌬E C to Si 关using x-ray photoelectron spectros-
describe the present understanding in the field regarding the copy 共XPS兲兴,16 the tunneling electron effective mass m *
limits of scaling current gate dielectric materials, SiO2 and 共from tunneling I – V measurements兲,17 and the photoelectron
Si-oxide-nitride variations, for CMOS. Issues include band attenuation length.18 These measurements have further dem-
offset, interfacial structure, boron penetration and reliability. onstrated very little change in fundamental SiO2 properties
Beyond this scaling limit, another material will be required between bulk and ultrathin sub-20 Å films.
as the gate dielectric to allow further CMOS scaling. The apparent robust nature of SiO2, coupled with indus-
A. Ultrathin SiO2 properties try’s acquired knowledge of oxide process control, has
helped the continued use of SiO2 for the past several decades
Experiments and modeling have been done on ultrathin in CMOS technology. As experimental evidence of the ex-
SiO2 films on Si, as a way to determine how the SiO2 band
cellent electrical properties of such ultrathin SiO2 films, it
gap or band offsets to Si change with decreasing film
has been demonstrated that transistors with gate oxides as
thickness.12–15 In the study by Muller et al.,12 electron en-
thin as 13–15 Å continue to operate satisfactorily.19–24 Al-
ergy loss spectroscopy 共EELS兲 was carried out on 7–15 Å
SiO2 layers on Si. It was found that the density of states 共as though high leakage current densities of 1–10 A/cm2 共at
measured by the oxygen K-edge in EELS, with a probe reso- V DD兲 are measured for such devices,25 transistors intended
lution ⬍2 Å兲 transition from the substrate into the SiO2 layer for high-performance microprocessor applications can sus-
indicated that the full band gap of SiO2 is obtained after only tain these currents. As first reported by Timp et al.20–22 scal-
about two monolayers of SiO2. This indicates that within two ing of CMOS structures with SiO2 gate oxides thinner than
monolayers of the Si channel interface, oxygen atoms do not about 10–12 Å results in no further gains in transistor drive
have the full arrangement of oxygen neighbors and therefore current. This result has been subsequently and independently
cannot form the full band gap that exists within the ‘‘bulk’’ reported by other groups, thus 10–12 Å could serve as a
of the SiO2 film. practical limit for reducing the SiO2 thickness.23,24,26
5248 J. Appl. Phys., Vol. 89, No. 10, 15 May 2001 Appl. Phys. Rev.: Wilk, Wallace, and Anthony
ide is a significant concern. The large boron concentration contrast, improved electrical properties have been obtained
gradient between the heavily doped poly-Si gate electrode, by using various oxynitrides. Yang and Lucovsky demon-
the undoped oxide and lightly doped Si channel causes boron strated that an oxynitride alloy with a 1:1 ratio of SiO2 :Six Ny
to diffuse rapidly through a sub-20 Å oxide upon thermal can achieve t eq⬍17 Å with a leakage current of
annealing, which results in a higher concentration of boron ⬃10⫺3 A/cm2 at 1.0 V bias.56 This leakage current is
in the channel region. A change in channel doping then ⬃100⫻ lower than that for a pure SiO2 layer of the same
causes a shift in threshold voltage, which clearly alters the thickness, and the leakage reduction arises from both a
intended device properties in an unacceptable way.43 As will physically thicker film and from a small amount of N at the
be discussed in the next section, incorporating nitrogen into channel interface. Song et al.59 have also shown that a proper
the oxide can greatly reduce boron diffusion. choice of thermal processing steps using a NO passivation
Some approaches to enhance performance have also fo- layer, Six Ny deposition with SiH4 and NH3 and further an-
cused on surface preparation as a way to provide a flatter, neals in NH3 and N2O can achieve oxynitride layers with
more uniform Si interface in attempts to minimize electron t eq⫽18 Å and a leakage current density of 10⫺4 A/cm2 at 1.0
channel mobility degradation 共due to scattering at the inter- V bias. Guo and Ma60 have reported results with jet vapor
face兲 and gate leakage.44,45 Growing or depositing sub-15 Å deposited nitrides demonstrating t eq⫽15 Å with a leakage
oxides has also been investigated as a potential means for current density of J⬃10⫺4 A/cm2 at 1.0 V.
producing high-quality, reproducible and uniform gate ox- Despite these encouraging results from a variety of
ides, either for use as the gate dielectric or as the bottom deposition and growth techniques, scaling with oxynitrides/
layer of a dielectric stack.46,47 Obtaining high-quality oxides nitrides appears to be limited to t eq⬃13 Å. 61 Below this, the
in this thickness regime is challenging, because intrinsic pin- effects of gate leakage, reliability or electron channel mobil-
hole formation has been reported in ultrathin SiO2 films.48–50 ity degradation will most likely prevent further improve-
Perhaps the most significant benefit resulting from the ments in device performance. According to the most recent
incorporation of an alternative gate dielectric with a relative industry roadmaps, SiOx Ny and Six Ny /SiO2 dielectrics rep-
permittivity higher than SiO2 is the dramatic reduction in the resent current three year near-term solutions for scaling the
off-state tunneling 共leakage兲 current which is observed in CMOS transistor.5
devices using ultrathin SiO2 gate dielectric films. Thus, the
area of alternative gate dielectrics has gained considerable E. Fundamental limitations
attention recently because technology roadmaps predict the Despite the current efforts with SiO2, oxynitrides and
need for a sub-20 Å Si-oxide gate dielectric for sub-0.1 m even high- gate dielectrics, several potential fundamental
CMOS.4,5 limitations could seriously threaten the continued scaling of
all gate dielectrics, regardless of the material.26 First, the
D. SiOx Ny and Si–NÕSiO2 dielectrics
electrical thickness of any dielectric is given by the distance
The concerns regarding high leakage currents, boron between the centroids of charge in the gate and the substrate.
penetration and reliability of ultrathin SiO2 have led to ma- This thickness, typically denoted by t eq , therefore includes
terials structures such as oxynitrides and oxide/nitride stacks the effective thickness of the charge sheet in the gate and the
for near-term gate dielectric alternatives. These structures inversion layer in the substrate 共channel兲. These effects can
provide a slightly higher value than SiO2 共pure Si3N4 has add significantly to the expected t eq derived from the physi-
⬃7兲 for reduced leakage 共since the film is physically cal thickness of the dielectric alone.6 Depletion in the poly-Si
slightly thicker兲, reduced boron penetration and better reli- gate electrode arises from the depletion of mobile charge
ability characteristics.51–53 The addition of N to SiO2 greatly carriers in the poly-Si near the gate dielectric interface, par-
reduces boron diffusion through the dielectric, and has been ticularly in the gate bias polarity required to invert the chan-
shown to result from the particular Si–O–N network bond- nel. The result is often that ⬃3–4 Å in the poly-Si electrode
ing formed in silicon nitride and oxynitride.54,55 Furthermore, nearest to the gate dielectric interface essentially behaves
small amounts of N 共⬃0.1 at. %兲 at or near the Si channel like intrinsic Si, which adds ⬃3–4 Å to the effective dielec-
interface have been shown to improve device performance.56 tric thickness 共rather than acting as a metal with a Fermi sea
Larger amounts of N near this interface degrade device per- of electrons right up to the dielectric interface兲. In the best
formance, as discussed later. case, the electrode depletion region can be reduced to ⬃1–2
The simplest approach is to use a pure nitride layer at or Å for degenerately doped poly-Si electrode right up to the
near the channel interface, but device performance is typi- interface, but this is difficult to obtain.
cally degraded in these structures. Recent work using remote The nature of the inversion charge layer in the Si sub-
plasma enhanced chemical vapor deposition 共PECVD兲 to de- strate 共or channel, for transistors兲 contributes about 3–6 Å to
posit Si-nitride directly on the Si channel57 resulted in poor the effective t eq value, thus even for ideal, degenerately
pMOS performance, with significant degradation of channel doped poly-Si gates, it is difficult to realize an overall t eq
mobility and drive current. This degradation is attributed to ⬍10 Å in MOSFETs using current process technology.
several factors, including excess charge of pentavalent nitro- Metal gates offer a possible solution to the gate depletion
gen atoms, a high defect density arising from bonding con- problem, but the addition of 3–6 Å to the t eq value from the
straints imposed at the interface58 共which causes increased Si channel will remain. We note that most of the high-
channel carrier scattering兲, and from the defect levels in the dielectrics discussed later in this review are measured on
Si-nitride layer which reside near the valence band of Si. In capacitors and transistors with metal gates. Although metal
5250 J. Appl. Phys., Vol. 89, No. 10, 15 May 2001 Appl. Phys. Rev.: Wilk, Wallace, and Anthony
strate types used. This shift is typically interpreted as fixed from that expected for an ideal capacitor using the respective
charge within the film, although it can also arise from oxide electrodes and substrate types in these studies. As with
damage associated with gate electrode deposition or other Al2O3, these are very large voltage shifts measured in a
forms of processing treatments. As mentioned previously, a broad array of sample conditions.
positive ⌬V FB value corresponds to a negative fixed charge. Chin et al.89 reported results from La2O3 films which
Considering that large ⌬V FB values have been measured by were formed by evaporation of La onto Si, followed by low-
several independent groups, using different processing con- temperature thermal oxidation and ex situ Al gate deposition.
ditions and electrodes, this is currently being interpreted as The actual composition and structure in the films may re-
fixed charge within the films. quire further examination, as pure La is well known to be
Several groups have studied the group IIIB metal oxides very volatile and reactive in air, and La2O3 will absorb water
Y2O3, 96–100 La2O389,99 and Pr2O3101 for the purposes of vapor from air. These characteristics signify that any ex situ
high- gate dielectrics. Manchanda and Gurvitch96,97 ther- exposure of these films to air will certainly result in an un-
mally oxidized sputtered yttrium films to form Y2O3, for controlled reaction. Nevertheless, remarkable device results
structures with and without an intentional SiO2 layer be- were shown for these films, as a physical thickness of
tween the Y2O3 and Si substrate. It was found that structures 33 ÅLa2O3 produced t eq⫽4.8 Å, J⬃10⫺1 A/cm2 at 1.0 V
with ⬃260 Å Y2O3 showed very low leakage of gate bias, and D it⬃3⫻1010 eV⫺1 cm⫺2 共almost no quantum
⬍10⫺10 A/cm2 at 5 V bias and breakdown fields of E BD mechanical effect on the t eq value because of the Al gate兲.
⬃4 MV/cm. Capacitors accumulated well with little hyster- Long-channel transistors with the same films for the gate
esis and dispersion, but showed an interface charge density dielectric exhibited very good turn-on characteristics, a sub-
of ⬃6⫻1011 cm⫺2 and showed an interface trap density of threshhold slope of 75 mV/decade, and a high field effective
⬃1011 cm⫺2 eV⫺1. The dielectric constant of the Y2O3 mobility 共at 1 MV/cm兲 of eff⬎300 cm2 /V s. These films
grown on SiO2 was found to be ⬃17– 20, but for Y2O3 also showed a flat band voltage shift of ⬃⫹700 mV.
grown directly on Si, it was found that ⬃12. This lower Guha et al. also recently investigated thin (t eq
measured permittivity value likely resulted from growth of ⬃10– 14 Å) La2O3 films99 where leakage currents of
interfacial SiO2 during the thermal oxidation step. 10⫺4 – 10⫺7 A/cm2 at 1 V are reported. An amorphous film
Kwo et al. investigated Y2O3 and Gd2O3 films deposited structure with ⬃10% Si and a thin interfacial SiOx layer was
by molecular beam epitaxy 共see Secs. VI D and VI F兲, in reported. A large flat band voltage shift of ⫺1.4 V was also
both crystalline and amorphous phases 共as measured by observed.
x-ray diffraction兲.98 Amorphous films showed lower leakage There are clearly many attributes to the group III metal
current densities than crystalline layers, and capacitors oxides, as many promising and encouraging properties have
showed t eq⫽10– 15 Å with leakage current densities of J already been demonstrated using these materials systems. As
⫽10⫺6 – 10⫺3 A/cm2, depending on morphology, deposition will be discussed in Sec. VI, the beneficial properties of
and postannealing conditions. Breakdown fields were mea- M2O3 metal oxides arise in part because the mole fraction of
sured as E BD⬃3 MV/cm, with interface state density D it cations is higher 共40 mol.%兲 compared to MO2 共Group IV兲
⬍1012 cm⫺2 eV⫺1. The C – V curves for these films also ex- metal oxides, with a cation fraction of 33.3 mol %.
hibited frequency dependence between 100 Hz and 1 MHz. The magnitude of the flatband voltage shifts measured in
Guha et al. recently investigated relatively thick (t eq all of these high- systems, suggests a substantial fixed
⬃45 Å) Y2O3 films using an atomic O and Y metal beam charge density of Q⬎1012 cm⫺2 in the films, and must be
epitaxy approach.99 It was found that quasistatic and high further investigated. Interface stability under standard pro-
frequency 共100 kHz兲 C – V measurements using Al elec- cessing conditions remains a concern, as the earlier cases
trodes overlap well upon postmetallization annealing sug- illustrate that uncontrolled reaction tends to occur during the
gesting that interface state densities less than 1011 cm⫺2 were nonequilibrium deposition process through kinetics 共with the
obtained. Little or no flatband voltage shift was reported. apparent exception of the ALCVD-deposited Al2O3兲, despite
Leakage currents at 1 V in thinner films (t eq⬃21 Å) were some thermodynamic predictions. Control of the Si channel
reported to be ⬃10⫺8 A/cm2. High resolution TEM and interface is a key issue for high- gate dielectrics, and will be
MEIS studies, however, indicate the formation of a columnar revisited later.
polycrystalline structure with ⬃10% Si in the film and a
15-Å-thick interfacial SiOx layer which was attributed to 2. Group IVB metal oxides
oxygen diffusion 共possibly along grain boundaries兲 and/or A substantial amount of investigation has gone into the
catalytic reaction. group IVB metal oxides, specifically TiO2, 102–109
Chambers and Parsons100 recently investigated the inter- ZrO2, 110–121 and HfO2, 110,120,122–126 as these systems have
face associated with Y2O3, Si and oxidized/nitrides Si sur- shown much promise in overall materials properties as can-
faces. They found that silicides can readily form depending didates to replace SiO2.
on the kinetics of the deposition process, and that subsequent The TiO2 system has been heavily studied for high-
oxidation of the silicide yields an interfacial silicate layer. applications both for memory capacitors and in
They also point out that such reaction kinetics may well be transistors.102,106,107 It is attractive because it has a high per-
expected for other metal–oxide systems used for alternate mittivity of ⫽80– 110, depending on the crystal structure
gate dielectrics. The flatband voltages for the Y2O3 films and method of deposition. This anomalously high permittiv-
reported to this point are shifted by ⌬V FB⫽300– 600 mV ity, which arises through a strong contribution from soft
J. Appl. Phys., Vol. 89, No. 10, 15 May 2001 Appl. Phys. Rev.: Wilk, Wallace, and Anthony 5257
TABLE II. Thermal neutron cross section data for device relevant materials
共after Ref. 132兲.
Thermal
Natural neutron Weighted average
abundance cross section cross section
Element Isotope 共at. %兲 共b兲 共b兲
Zr 90 51.46 0.1
91 11.23 1
92 17.11 0.2 0.213
94 17.4 0.08
96 2.8 0.05
Hf 174 0.163 400
176 5.21 30
177 18.6 370
178 27.1 50 97
179 13.75 65
180 35.22 10
Cu 63 69.1 4.5
65 30.9 2.3 3.82
Si 28 92.18 0.08
29 4.71 0.3 0.0913
30 3.12 0.11
B 10 19.7 3837
11 80.3 0.005 756
FIG. 18. Extrapolated values of 共a兲 fixed charge density Q f and 共b兲 midgap
interface state density N it as a function of postannealing conditions in O2
dioactive isotopes 96Zr and 174Hf. The following analysis
and H2 for ALCVD ZrO2 共see Ref. 118兲. suggests, however, that neither element should be a concern
with respect to radioactive decay.131
The naturally occurring radioactive isotope for zirco-
mV, depending on film thickness兲. Postannealing in O2 at nium is 96Zr. The natural abundance is 2.80 at. %. The half-
progressively higher temperatures is seen to decrease the net life T 1/2 is ⬎3.6⫻1017 years. Assuming reasonable values
amount of negative fixed charge 共presumably through com- for transistor dimensions, the number of Zr atoms resulting
pensation by the introduction of positive fixed charge兲, until from a ZrSiO4 stoichiometry associated with the gate dielec-
a net positive fixed charge is observed for O2 anneals above tric is ⬃1.5⫻106 , resulting in 4.2⫻104 96Zr atoms/gate. As-
600 °C 共a net positive fixed charge density of 2⫻1012 cm⫺2 suming 109 transistors in a device, this results in 4.2⫻1013
results after a 700 °C anneal in O2兲.118 96
Zr atoms per device. The number of disintegrations/year is
Figure 18共b兲 shows that for the same annealing condi- given by A * , where A⫽number of atoms and
tions, the midgap interface state density also increases. Un- ⫽probability for decay, viz. ⫽0.693/T 1/2⫽1.9
der subsequent annealing in H2, the midgap interface states ⫻10⫺18 yr⫺1. As a result, one might expect 8⫻10⫺5 disin-
are minimized, while little effect is seen on the net fixed tegrations per device per year, or one disintegration every
charge. If the subsequent H2 anneals are carried out after 1.2⫻104 years per device. A similar analysis for 174Hf indi-
deposition of the metal gate, however, the net fixed charge is cates that one disintegration every 1.6⫻103 years per device
found to become significantly more negative, such that even if HfSiO4 is used as the gate dielectric.
the higher temperature anneals in O2 do not produce a net There is general agreement that the flux of particles that
positive fixed charge. It is proposed that the resulting sign might interact with a device consists of more than 97% neu-
and amount of fixed charge is dependent on the annealing trons at sea level.132 The relevant parameters 共neutron cross
conditions, and that the positive fixed charge arises from sections and natural abundances兲 are given in Table II for
over coordinated oxygen atoms, possibly induced by the hafnium and zirconium, as well as other materials that will
presence of hydrogen,128 as has been proposed for the case of be found in future generation devices. Hafnium has a larger
pure SiO2. 129 thermal neutron cross section than zirconium, but to deter-
We note that hafnium suffers the misconception that it mine whether or not this cross section is significant, one
exhibits sufficient radioactivity to cause sensitivity to single must also consider the number of hafnium atoms present in
event upsets in integrated circuits. It is well known that Hf the gate dielectric. To determine if hafnium is more of a
has been used in nuclear reactors as the control rod material, liability than copper for example, one must take into account
because it has a high thermal neutron capture cross section, the relative number of hafnium atoms to copper atoms, or
and shows little decrease in capture cross-section after long even relative to silicon in the silicon substrates. Ziegler132
periods of radiation exposure.130 Hafnium also shows high describes this as the active atoms/chip, given by
corrosion resistance in hot water, which makes it a low- active atoms/chip
maintenance material for control rod purposes. Both zirco-
nium and hafnium have naturally occurring, long-lived ra- ⫽ 共 active area兲 •electrical depth•atom density.
J. Appl. Phys., Vol. 89, No. 10, 15 May 2001 Appl. Phys. Rev.: Wilk, Wallace, and Anthony 5261
TABLE III. Active cross section for different elements, using the thermal In the cases where a flatband voltage has been reported
neutron cross section 共after Ref. 132兲.
for the earlier oxide systems, the measured flatband voltage
Neutron cross Active cross shifts ⌬V FB are substantial 共compared to that expected for
Element Active atoms section section the given electrode work function兲, ranging from ⫺600 to
Si 2.0⫻1018 9.0⫻10⫺26 1.8⫻107
⫹800 mV for the high- films discussed thus far. As men-
Zr 1.5⫻1015 2.1⫻10⫺25 3.2⫻10⫺10 tioned previously, in this case the term ⌬V FB does not refer
Hf 1.1⫻1015 9.7⫻10⫺23 1.1⫻10⫺7 to a flatband shift arising from C – V voltage sweeps in op-
Cu 3.0⫻1017 3.8⫻10⫺24 1.1⫻10⫺6 posite polarities, but rather refers to the difference in the
B 1.0⫻1015 7.6⫻10⫺22 7.6⫻10⫺7
measured flatband voltage 共for a single sweep兲 from that ex-
pected for an ideal capacitor 共for a given electrode and sub-
strate doping level兲.
This shift is normally interpreted as fixed charge within
Assuming a 1 cm2 silicon-based device with 4% of the the film, although it can also arise from oxide damage asso-
device active and a 1 m electrical depth, one arrives at ciated with gate electrode deposition or other forms of pro-
(0.04 cm2 )•(10⫺3 cm)•(5⫻1022 atoms/cm3 )⫽2⫻1018 Si cessing treatments. Considering that large ⌬V FB values have
atoms. One must also determine the active cross section been measured by so many independent groups, using differ-
共⫽active atoms•neutron cross section兲, which takes into ac- ent processing conditions and electrodes, it is currently being
count the differences in neutron cross sections for the differ- attributed to fixed charge within the film. A large fixed
ent elements 共shown in Table II兲. For Si, the weighted aver- charge 共e.g., that which corresponds to ⌬V T ⬎50 mV兲 in a
age neutron capture cross section is 9.13⫻10⫺26 cm2 gate dielectric can have a serious, deleterious effect on the
resulting in an active cross section of 2⫻10⫺7 cm2. Other transistor performance, because the threshold voltage V T be-
relevant elements are shown in Table II. comes too large for adequate compensation by dopant im-
While this approximation does not provide an estimate plants. Smaller fixed charges 共⬃10–20 mV兲 can be accept-
of the absolute single event upset rate, it should provide an able, and even desirable, since this can adjust the V T such
estimate of the relative sensitivity for different elements in that smaller channel implant doses can be used 共which re-
the device to cosmic rays. Thermal neutron cross-sections sults in less ion impurity scattering of carriers in the chan-
were used as an estimate of the overall neutron cross section nel兲.
which is likely an overestimate of the interaction cross sec- Although it is not yet known whether the observed flat
tion. band shifts arise from a fixed charge within the high- di-
Table III compares these sensitivities for the different electrics or from some other phenomenon, a large amount of
elements and their associated isotopes discussed earlier.
fixed charge in these films could have an enormous influence
Even with the relatively high thermal neutron cross sections
on the viability of their insertion into a CMOS process. If
for Zr and Hf, these data would suggest that neither Hf nor
these high- gate dielectrics indeed prove to contain signifi-
Zr should cause as many upsets as Cu. The number of Cu
cant amount of fixed charge, then the sign of the charge will
atoms was determined by assuming a copper metallization
also become extremely important. The band diagram in Fig.
process consisting of 0.3-m-thick layers, five levels of
4 illustrates the different effects on a device threshold volt-
metal, and 4% of each layer is made up of Cu. Boron was
age for the cases of positive and negative flatband voltage
estimated assuming an implant dose of 1⫻1015 atoms/cm2.
The results obtained on the ZrO2 and HfO2 metal oxide shifts. This observed phenomenon has been suggested11 to
systems described earlier indeed are important demonstra- arise from the detailed bonding structure of the various cat-
tions of the ability to achieve low t eq values with lower leak- ions near the Si interface, while another report by Houssa
age currents than would be achieved using comparable SiO2 et al.,118 mentioned previously, suggested that the sign and
films. The interface quality of these systems remains a criti- amount of fixed charge depends only on the annealing con-
cal issue, however, since the materials in particular are ex- ditions. It should be noted that only positive ⌬V FB values
tremely susceptible to O diffusion and reaction at the channel have been measured for Al2O2, but both positive and nega-
interface. The ultimate device performance will depend tive ⌬V FB values have been measured for several high-
heavily on the channel carrier mobility, which in turn can be dielectrics.
easily degraded by high interface trap levels. A large hyster- For example, TiO2, ZrO2, and HfO2 show a range of
esis or shift in flatband voltage for any gate dielectric will ⌬V FB⫽⫺600 to ⫹800 mV, while Y2O3 and La2O3 show
also result in unacceptable transistor threshold voltage shifts. ⌬V FB⫽⫹300 to ⫹1400 mV 共on much less data than for
Finally, these metal oxides have not yet demonstrated the group IVB oxides兲, but Al2O3 shows ⌬V FB⬃⫹300 to ⫹800
required stability under the high temperatures required for mV. Since a negative value corresponds to positive fixed
CMOS processing, including dopant activation anneals up to charge 共assuming again that these shifts are due to fixed
1050 °C. At this point, lower thermal budget processes have charge兲 and vice versa, Al2O3 seems to show opposing be-
been considered, such as the replacement gate process,69 but havior in that it may possess negative fixed charge. These
no proven manufacturable flow has yet emerged. Until this two cases clearly have different impacts on nMOS and
occurs, it should be considered a critical requirement for pMOS devices. Much more work must be done to determine
high- dielectrics to withstand such high-temperature an- if indeed there is a substantial amount of fixed charge in
neals. these high- dielectrics on Si, and if so, how to remove it or
5262 J. Appl. Phys., Vol. 89, No. 10, 15 May 2001 Appl. Phys. Rev.: Wilk, Wallace, and Anthony
3. Pseudobinary alloys FIG. 19. Ternary phase diagrams for 共a兲 Ta–Si–O, 共b兲 Ti–Si–O, and 共c兲
Zr–Si-O compounds 共after Refs. 156 and 158兲.
All of the earlier mentioned materials clearly have many
advantages as high- gate dielectrics, but they also have un-
desirable properties which remain a concern regarding re- where x⬍2 or x⬎4. Additionally, investigation of interface
placement of SiO2. An encouraging system of materials are engineering using SiO2 /Hf4SiO10 /Si3N4 insulator stacks
pseudobinaries, such as (ZrO2 ) x (SiO2 ) 1⫺x and provided improved performance compared to similar struc-
(HfO2 ) x (SiO2 ) 1⫺x , which for this purpose combine two ox- tures incorporating Ta2O5.
ides, typically 共although not necessarily兲 in nonstoichiomet- Recent work on two such systems of pseudobinaries,
ric compositions. In this way, it is possible to combine the namely silicates 共M–Si–O兲, with M⫽Zr,
desirable properties from two different oxides while elimi- Hf,115,116,135–138,143共a兲 La143共b兲 and Gd,143共c兲 and aluminates
nating the undesirable properties of each individual material. 共M–Al–O兲, with M⫽Zr, 139,140 indicate that such materials
It is instructive to consider the chemical and electrical systems already exhibit encouraging gate dielectric proper-
characteristics associated with Zr and Hf that serve to create ties toward this end. Both materials systems have the same
such favorable electrical properties for the CMOS applica- underlying principle of mixing a high- 共crystalline兲 metal
tions 共and conversely why Ti is not favorable兲. The four-fold oxide with an amorphous, stable, lower- material 共SiO2 or
coordination of Si and the desire to minimize the presence of Al2O3兲 to obtain a desirable morphology with suitable prop-
dangling bonds at the interface suggests that high-Z elements erties for a CMOS gate dielectric. The effect of adding SiO2
in group IVB are desirable when incorporated into an insu- and Al2O3 to metal oxides such as ZrO2 is to produce an
lator oxide or silicate. amorphous film that is thermodynamically stable on Si 共for
Some work in the 1970’s and 80’s 共as mentioned previ- low leakage currents兲. The overall permittivity of the
ously, the work was primarily intended for use in optical lens pseudobinary alloy is inevitably lower than that of the pure
coatings兲 was carried out on such pseudobinary materials. metal oxide, but this tradeoff can be very adequate, for the
Examination of sputtered ZrO2 – SiO2 codeposited, thick improved stability. In particular, ZrO2 :SiO2 and HfO2 :SiO2
共⬃3000 Å兲 films has been reported by Russack and silicates within an appropriate composition range have been
co-workers.133 X-ray diffraction analysis of the films indi- demonstrated to exhibit very low leakage currents and im-
cated that the films with ⬎10 %SiO2 remain amorphous proved values with only small amounts of ZrO2 or HfO2 in
even upon annealing at 500 °C in air for 60 h. Films with the material.135–137
⬍10 %SiO2 exhibited a crystalline ZrSiO4 diffraction pattern Since Figs. 19共a兲 and 共b兲 show that there are no apparent
under similar annealing conditions. Composition analysis of thermodynamically stable ternary compounds for the Ta–
their films, as deduced by Rutherford backscattering spec- Si–O and Ti–Si–O systems, glassy silicates 共Tax Siy Oz or
troscopy, indicated that the films have a metal:oxygen ratio Tix Siy Oz 兲 of these materials may be obtained. The subse-
of 2:1, signifying that they are fully oxidized. quent thermal processing that these materials experience will
Roberts et al. investigated HfO2 :SiO2 films also pre- be of key importance, though, as these systems are likely to
pared by sputter techniques.134 They found that Hf-silicate separate into more stable Mx Oy and Mx Siy phases. Further-
films provided superior performance to sputtered Ta2O5 films more, an extension of constraint theory for glasses, as for-
for thin capacitor insulator film thicknesses 共100–150 Å mulated by Phillips141 has recently been applied to glassy
range兲. A preferred stoichiometry of Hf4SiO10 was identified phases of high- gate dielectrics. It has been found142 that
as a result of breakdown measurements and ⫽13 was re- there is excellent agreement between the expected stability
ported. It was noted that interfacial SiO2 growth occurs upon and low defect densities specifically for certain composition
subsequent O2 annealing above 800 °C for Hfx SiO2x⫹2 , ranges of ZrO2 :SiO2 and HfO2 :SiO2 silicates.
J. Appl. Phys., Vol. 89, No. 10, 15 May 2001 Appl. Phys. Rev.: Wilk, Wallace, and Anthony 5263
FIG. 21. HRTEM image of Hf-silicate between Si layers. 共a兲 After deposi-
tion of amorphous Si at 500 °C and 共b兲 after annealing at 1050 °C for 20 s in
N2 共see Ref. 137兲.
dielectrics, but also claimed that a dielectric stack with SiO2 Here A is a constant, t diel is the physical thickness of the
at the channel interface could reduce any barrier-lowering dielectric, V diel is the voltage drop across the dielectric, and
effects from the high- fringing fields.150 m * is the electron effective mass in the dielectric. For highly
Perhaps even more important is the issue of field pen- defective films which have electron trap energy levels in the
etration into the Si channel region.148 The inversion charge in insulator band gap, electron transport will instead be gov-
the channel experiences an increasing electric field with in- erned by a trap-assisted mechanism such as Frenkel–Poole
creasing gate capacitance, regardless of the gate dielectric emission or hopping conduction, as described by Eqs. 共13兲
material. At a high enough electric field penetration through and 共14兲, respectively,
冉 再 冑 冎冊
the gate dielectric, channel carriers will undergo increased
scattering, ultimately leading to a decrease in mobility and q qE
J FP⫽E exp ⫺ ⌽ B⫺ 共13兲
drive current. Additionally, this inversion layer will have an kT ⑀i
associated capacitance in series with the gate stack and will
q 2 l 2 n * ⌫E
also eventually limit the ultimate gate stack capacitance for J hop⫽ . 共14兲
any high- dielectric.26 kT
This effect was first reported by Timp et al.20 using pure Here l is the interval of separation between adjacent hop-
SiO2, as 30 nm gate length transistors showed that for t eq ping sites, n * is the density of free electrons in the dielectric,
⬍13 Å, the drive current actually decreased. If this phenom- and ⌫ is the mean hopping frequency. Leakage current also
enon causes a degradation in device performance for t eq depends on other factors, including the structure of the layer,
⬍11– 13 Å, then tradeoffs may have to be considered for as discussed later in Sec. VI D. In order to obtain low leak-
technologies using these materials.23 age currents, it is desirable to find a gate dielectric that has a
large ⌬E C value to Si and perhaps to other gate metals which
may be used at some point. Reported values of ⌬E C for most
VI. MATERIALS PROPERTIES CONSIDERATIONS
dielectric-Si systems are scarce in the literature, but recent
All of the materials systems discussed earlier must meet calculations by Robertson and Chen152 indicate that many of
a set of criteria to perform as successful gate dielectric. We the metal oxide and complex oxide materials, such as Ta2O5
J. Appl. Phys., Vol. 89, No. 10, 15 May 2001 Appl. Phys. Rev.: Wilk, Wallace, and Anthony 5267
ing model in Fig. 20兲. Recent independent measurements of often observed for higher atomic number metal oxides, par-
the enhanced dielectric constants have also been ticularly for the transition metals. It is important to note that
confirmed.143共a兲,143共b兲,143共c兲 these partially filled and nonbonding levels are not the result
Another phenomenon which can contribute to an in- of defects within the material, but rather are intrinsic to such
creased dielectric constant involving atom motion is soft atomic constituents where many orbitals are available for
phonons. This differs from a non-volatile polarization 共e.g., electron conduction. This general band gap reduction for
as found in ferroelectrics兲, in that the atoms do not remain higher- materials is a limitation that must be realized and
displaced after the electric field is removed 共ferroelectricity expected when selecting a suitable high- gate dielectric.
is the limit at which certain cations remain displaced in one In the cases of Ta2O5 and TiO2, both materials have
of two stable positions after the external field is removed兲. small E G values and correspondingly small ⌬E C values.
Rather, higher atomic number atoms can resonate in their These small ⌬E C values directly correlate with high leakage
bonding structures at low vibrational frequency modes, currents for both materials, making pure Ta2O5 and TiO2
which produces a soft phonon 共since it is at relatively low unlikely choices for gate dielectrics. Table I also shows,
frequency兲. These phonons then make a lattice contribution however, that La2O3, HfO2, and ZrO2 offer relatively high
to the overall polarizability, and therefore to the permittivity values for both and E G . It is important to note that all of
of the material. It appears that some of the high- dielectrics the high- metal oxides listed in Table I, which includes
of interest exhibit the earlier-mentioned effects, leading to those studied for gate dielectric applications, are crystalline
substantially increased permittivities even at compositions at relatively low temperature 共except Al2O3兲. The signifi-
with low metal levels. By achieving good bonding models of cance of the film structure will be further discussed in Sec.
these materials, some of these materials properties can begin VI D.
to be better understood and predicted, as a way to optimize Although many researchers originally assumed that se-
the choice of dielectric for this application. lecting a dielectric with ⬎25 would be necessary to replace
It is therefore reasonable to expect any intrinsic contri- SiO2, the more relevant consideration is whether the desired
bution to the dielectric constant will be maintained for rea- device performance 共i.e., drive current兲 can be obtained at
sonable device operation frequencies, although other extrin- the prescribed operating voltage without producing unac-
sic factors such as defects may lead to an effective decrease ceptable off-state 共leakage兲 currents and reliability character-
in permittivity at much lower frequencies. istics. It is therefore more appropriate to find a dielectric
In contrast to the general trend of increasing permittivity which provides even a moderate increase in , but which
with increasing atomic number for a given cation in a metal also produces a large tunneling barrier and high-quality in-
oxide, the band gap E G of the metal oxides tends to decrease terface to Si. With this in mind, if a single dielectric layer
with increasing atomic number, particularly within a particu- can be used, then even a material with ⬃12– 20 will allow
lar group in the periodic table. An intuitive explanation for a physical dielectric thickness of 35–50 Å to obtain the t eq
this phenomenon is that the corresponding bonding and anti- values required for 0.1 m CMOS and beyond.
bonding orbitals of the metal-oxygen atoms form a valence
band and a conduction band, respectively. For the case of
B. Thermodynamic stability on Si
SiO2, the bonds formed by the sp hybrid orbitals 共which
arise from Si s,p and O p orbitals兲 have a bonding orbital For all thin gate dielectrics, the interface with Si plays a
energy level and a higher * antibonding orbital energy key role, and in most cases is the dominant factor in deter-
level. The energy separation between these levels defines a mining the overall electrical properties. Most of the high-
band gap, but this may or may not be the minimum band gap metal oxide systems investigated thus far have unstable in-
in the material. For even the simple case of SiO2, where terfaces with Si: that is, they react with Si under equilibrium
there are only s and p electron orbitals that are all filled conditions to form an undesirable interfacial layer. These
during bonding, the oxygen electron lone pair energy level materials therefore require an interfacial reaction barrier, as
actually defines the valence band maximum 共rather than the mentioned previously. Any ultrathin interfacial reaction bar-
bonding energy level兲. The result is the often reported rier with t eq⬍20 Å will have the same quality, uniformity
band gap of SiO2 ,E G ⬃9 eV. If the and * bonds defined and reliability concerns as SiO2 does in this thickness re-
the valence band maximum and conduction band minimum, gime. This is especially true when the interface plays a de-
respectively, then the band gap of SiO2 would be larger. termining role in the resulting electrical properties. It is im-
Thus for the transition metal oxides, which all have five portant to understand the thermodynamics of these systems,
d electron orbitals and other non-bonding p orbitals, the band and thereby attempt to control the interface with Si.
gaps of these oxides can be significantly decreased by the An important approach toward predicting and under-
presence of partially filled d orbitals, which have available standing the relative stability of a particular three-component
states for electron occupancy. These orbital energy levels system for device applications can be explained through ter-
tend to lie within the gap defined by the and * orbitals. nary phase diagrams.156,157 An analysis of the Gibbs free
The d orbital levels which lie within the gap defined by energies governing the relevant chemical reactions for the
and * levels are all therefore available for electron conduc- Ta–Si–O and Ti–Si–O ternary systems, as shown in Figs.
tion at significantly lower energy levels than would be ex- 19共a兲 and 19共b兲, indicates that Ta2O5 and TiO2 共or mixtures
pected from and * alone. This effect offers a somewhat with Si兲, respectively, are not stable to SiO2 formation when
intuitive explanation regarding the lower band gaps that are placed next to Si. Rather, the tie lines in 共a兲 and 共b兲 show that
J. Appl. Phys., Vol. 89, No. 10, 15 May 2001 Appl. Phys. Rev.: Wilk, Wallace, and Anthony 5269
Ta2O5 and TiO2 on Si will tend to phase separate into SiO2 Sec. VI A, this tradeoff for interfacial control will be accept-
and metal oxide 共Mx Oy , M⫽metal兲, and possibly silicide able as long as the resulting leakage currents are low enough.
(Mx Siy ) phases. The phase diagrams in Figs. 19共a兲 and 19共b兲
are shown for temperatures of 700–900 °C, but these rela-
tions are also valid at much lower temperatures. As men- C. Interface quality
tioned in Sec. I, this instability to SiO2 formation has been A clear goal of any potential high- gate dielectric is to
observed experimentally for both of these metal oxides,75,103 attain a sufficiently high-quality interface with the Si chan-
which leads to the necessity for an additional interfacial nel, as close as possible to that of SiO2. It is difficult to
layer. imagine any material creating a better interface than that of
In contrast to the Ta and Ti systems, the tie lines in the SiO2, since typical production SiO2 gate dielectrics have a
phase diagram for the Zr–Si–O system,158 shown in Fig. midgap interface state density D it⬃2⫻1010 states/cm2. Most
19共c兲, indicate that the metal oxide ZrO2 and the compound of the high- materials reported in this paper show D it
silicate ZrSiO4 will both be stable in direct contact with Si up ⬃1011 – 1012 states/cm2, and in addition exhibit a substantial
to high temperature. The gray shaded area in Fig. 19共c兲 de- flatband voltage shift ⌬V FB⬎300 mV 共possibly from fixed
notes a large phase field of (ZrO2 ) x (SiO2 ) 1⫺x compositions charge densityⲏ1012/cm2 at the interface兲. It is crucial to
which are expected to be stable on Si up to high tempera- understand the origin of the interface properties of any
tures. Other (ZrO2 ) x (SiO2 ) 1⫺x compositions outside of the high- gate dielectric, so that an optimal high- –Si interface
gray area are also stable on Si, but since it is desirable to may be obtained.
prevent any Zr–Si bonding, film compositions within the Recent work by Lucovsky et al.58 previously has shown
gray area may be preferable. Furthermore, even within the that bonding constraints must also be considered at the Si-
shaded area, compositions with high O levels 共closer to dielectric interface. It is shown empirically58 that if the av-
ZrSiO4兲 are preferred because this will be more likely to erage number of bonds per atom N av⬎3, the interface defect
prevent M–Si bond 共and silicide phase兲 formation. In fact, density increases proportionally, with a corresponding deg-
the existence of this large phase field of stable radation in device performance. Metal oxides which contain
(ZrO2 ) x (SiO2 ) 1⫺x compositions implies yet another poten- elements with a high coordination 共such as Ta and Ti兲 will
tial advantage, in that the level of Zr 共or Hf兲 incorporated have a high N av , and form an overconstrained interface with
into the silicate film could be gradually increased from one Si. Degradation in leakage current and electron channel mo-
technology node to the next. This gradual shift in film com- bility is therefore expected. Similarly, cations with low co-
position could provide a continually higher value 共up to a ordination 共e.g., Ba, Ca兲 compared to that of Si lead to un-
point兲, as is needed to meet device performance require- derconstrained systems in the corresponding metal oxides.
ments. These systems 共metal oxides, ternary alloys, etc.兲 which are
This behavior is expected to be the same for the Hf– either over- or underconstrained with respect to SiO2, lead to
Si–O system based on coordination chemistry arguments. formation of a high density of electrical defects near the
Although the thermodynamic information is incomplete for Si-dielectric interface, resulting in poor electrical properties.
the Hf–Si–O system, the available data suggests that HfO2 These bonding arguments can be extended to silicide
and HfSiO4, as well as a large range of (HfO2 ) x (SiO2 ) 1⫺x formation in the gate dielectric, or even to any M–Si bond-
compositions, will be stable in direct contact with Si up to ing 共not necessarily a full silicide phase兲. Any silicide bond-
high temperatures.159–161 This fundamental difference from ing which forms near the channel interface 共as may result
the Ta and Ti systems is extremely important, because it when a metal oxide is placed in direct contact with, or near,
suggests that there is potential to control the dielectric-Si Si兲, will tend to produce unfavorable bonding conditions,
interface. While it is certainly the case that all deposition leading to poor leakage current and electron channel mobili-
techniques of interest are done under nonequilibrium condi- ties. In order to maintain a high-quality interface and channel
tions, it is unlikely that a desirable, metastable phase, such as mobility, it is expected to be important to have no metal
amorphous Ta2O5 共which can be obtained under nonequilib- oxide or silicide phases present at or near the channel inter-
rium deposition conditions兲, will be maintained throughout face.
all of the thermal cycling required for CMOS processing. It is interesting to consider the binary oxides which are
Even in the case of process modifications such as a replace- more thermally stable than SiO2, some of which are shown
ment gate flow,69 which allows the high-temperature pro- in Table I, including Al2O3, Y2O3, La2O3, ZrO2, and HfO2
cessing to be done before deposition of the final gate dielec- 共also covered in a thorough study by Hubbard and
tric, the continued thermal cycling afterward is sufficient to Schlom兲.157 The requirement on bonding constraints men-
result in poor electrical properties.69 It is therefore important tioned earlier, however, may significantly reduce the list of
to select a materials system in which the desired final state is materials. Although Al2O3 is a special case in the way that
a stable one. Al cations bond within the network 共alternating Al–O tetra-
Figure 19共c兲 indicates that use of (ZrO2 ) x (SiO2 ) 1⫺x hedra and octahedra兲, Y2O3 and La2O3 are underconstrained
关and therefore (HfO2 ) x (SiO2 ) 1⫺x 兴 should allow for control and may therefore form very high defect densities at the
of the Si interface, which may solve a key problem for the channel interface. More highly coordinated metals such as
high- gate dielectric materials approaches. The values of Nb, V, and Mn are not only overconstrained, but each also
(HfO2 ) x (SiO2 ) 1⫺x and (ZrO2 ) x (SiO2 ) 1⫺x are substantially has several different stable oxidation states, and will there-
lower than those of pure HfO2 and ZrO2, but as mentioned in fore lead to oxygen vacancies and electron trap sites. In ad-
5270 J. Appl. Phys., Vol. 89, No. 10, 15 May 2001 Appl. Phys. Rev.: Wilk, Wallace, and Anthony
dition to binary systems, pseudobinary systems are excellent cant variations in , leading to irreproducible properties. The
candidates for gate dielectrics. Adding a third component to previously mentioned studies by Houssa et al.118 and Perkins
an alloy or network may favorably affect the material in et al.,127 however, appear to be counter-examples, as both
terms of thermal stability, bonding constraints and in mor- reported very encouraging electrical properties for ALCVD
phology. ZrO2. It should be noted, however, that both studies also
Several simple oxides such as ZrO2 and HfO2 have been used a gate dielectric stack, with the ZrO2 film on top of an
previously reported as having high oxygen diffusivities.112 amorphous SiO2 layer. It is unclear at this point to what
This is a serious concern regarding control of the interface extent the amorphous SiO2 layer affords the encouraging
once it is initially formed. Any annealing treatments which electrical properties, but this issue will become important, as
have an excess of oxygen present 共either from the ambient or the SiO2 layer presents a limit to the minimum achievable t eq
from a sidewall oxide, for example兲, will lead to rapid oxy- value for these structures.
gen diffusion through the oxides, resulting in SiO2 or Work by van Dover,109 as previously discussed, used
SiO2-containing interface layers. Although we have already lanthanide dopants in TiOx films to create and maintain an
shown that SiO2 is an ideal interface with Si, an uncontrolled amorphous film for capacitor applications, even though TiO2
amount of SiO2 formation at the interface will severely com- is typically crystalline even at low temperatures 共see Table
promise the capacitance gain from any high- layers in the I兲. Very encouraging results were obtained, as both high per-
gate stack. Caution must therefore be used in assessing the mittivities and low leakage currents were achievable with
interface stability of high- dielectrics, as resistance to oxy- Nd, Tb, and Dy dopants. Although these particular films are
gen diffusion in annealing ambients should be characterized. not stable on Si, similar approaches may be useful for gate
Another annealing ambient of concern is forming gas 共typi- dielectrics.
cally 90% N2:10%H2兲, which is a standard final anneal in Single crystal oxides grown by MBE methods82,98 can in
the CMOS process and is believed to passivate interfacial principle avoid grain boundaries while providing a good in-
traps 共dangling bonds兲 with hydrogen. Since many high- terface, but these materials also require submonolayer depo-
dielectrics will be reduced in the presence of H2 共the Ti- sition control, which may only be obtainable by MBE ap-
containing perovskites are all severely reduced by even low proaches 共see Sec. VI F for further discussion on the MBE
temperature anneals in forming gas兲, high- gate dielectrics deposition method兲. Kwo et al.98 formed capacitors with
also need to be characterized with respect to the effect of single-domain, crystalline Gd2O3 films on Si by MBE, which
anneals in reducing ambients. had no apparent interfacial layer according to infrared ab-
The ideal gate dielectric stack may well turn out to have sorption spectroscopy 共not shown in the article兲. The leakage
an interface comprised of several monolayers of Si–O 共and current for these films was 10⫺3 A/cm2 at 1 V bias, and C – V
possibly N兲 containing material, which could be a pseudobi- analysis showed ⬃14, while some frequency dependence
nary layer, at the channel interface. This layer could serve to was observed and the permittivity decreased with decreasing
preserve the critical, high-quality nature of the SiO2 interface film thickness.98 For perovskite materials such as SrTiO3,
while providing a higher- value for that thin layer. The where the structure consists of alternating SrO and TiO2
same pseudobinary material could also extend beyond the planes, each single atomic-height step edge 共which always
interface, or a different high- material could be used on top exist on the surface of Si wafers兲 may possibly serve as a
of the interfacial layer. nucleation site for an antiphase boundary and possibly a
grain boundary. As will be discussed in Sec. VI E, any of
these dielectrics which require interfacial layers on the Si
D. Film morphology
channel 共to avoid reaction between the high- material and
Most of the advanced gate dielectrics studied to date are Si兲 will also require metal gate, or perhaps a buffer layer at
either polycrystalline or single crystal films, but it is desir- the poly-Si gate interface. In contrast, amorphous films will
able to select a material which remains in a glassy phase exhibit isotropic electrical properties, will not suffer from
共amorphous兲 throughout the necessary processing treatments. grain boundaries, and can easily be deposited by manufac-
As shown in Table I, nearly all metal oxides of interest, with turable techniques.
the exception of Al2O3, will form a polycrystalline film ei- Given the concerns regarding polycrystalline and single
ther during deposition or upon modest thermal treatments: crystal films, it appears that an amorphous film structure is
HfO2 and ZrO2 are no exceptions. It is important to note, the ideal one for the gate dielectric 共although some initial
however, that the phases listed in Table I are bulk properties, electrical results for a polycrystalline ZrO2 layer on an amor-
and there will certainly be some suppression of crystalliza- phous SiO2-containing layer look encouraging兲. This is yet
tion for very thin films such as gate dielectrics, at tempera- another clear virtue of SiO2. The Zr–Si–O system in Fig.
tures where crystallization would otherwise be expected to 17共c兲, and analogously the Hf–Si–O system, indicates that
occur. The extent of crystallization suppression for a given the only stable ternary crystalline compound is ZrSiO4 共or
oxide will depend on composition and thermal processing. HfSiO4兲. While it is possible that other ternary crystalline
Polycrystalline gate dielectrics may be problematic be- compounds do exist, it is unlikely. Bulk thermodynamics
cause grain boundaries serve as high-leakage paths, and this therefore suggests that a phase field 关gray shaded area in Fig.
may lead to the need for an amorphous interfacial layer to 17共c兲兴 exists for relatively low levels of Zr or Hf in which a
reduce leakage current. In addition, grain size and orientation (ZrO2) x (SiO2) 1⫺x or (HfO2) x (SiO2) 1⫺x composition can be
changes throughout a polycrystalline film can cause signifi- obtained which will remain amorphous and stable on Si up to
J. Appl. Phys., Vol. 89, No. 10, 15 May 2001 Appl. Phys. Rev.: Wilk, Wallace, and Anthony 5271
E. Gate compatibility
A significant issue for integrating any advanced gate di-
electric into standard CMOS is that the dielectric should be
compatible with Si-based gates, rather than require a metal
gate. Si-based gates are desirable because dopant implant FIG. 27. Energy diagrams of threshold voltages for nMOS and pMOS
conditions can be tuned to create the desired threshold volt- devices using 共a兲 midgap metal gates and 共b兲 dual metal gates.
age V T for both nMOS and pMOS, and the process integra-
tion schemes are well established in industry. Nearly all of
the potential advanced gate dielectrics investigated to this one metal would be required for the gate electrode 共no ion
point, however, require metal gates. This is expected because implantation step would be required兲.
the same instability with Si, mentioned in Sec. VI B, will For the case of sub-0.13 m bulk CMOS devices, how-
exist at both the channel and the poly-Si gate interfaces. ever, a major drawback is that the band gap of Si is fixed at
Specifically, metal gates such as TiN and Pt have been 1.1 eV, thus the threshold voltage for any midgap metal on
used with most of the high- gate dielectrics mentioned Si will be ⬃0.5 V for both nMOS and pMOS. Since voltage
above to prevent reaction at the gate interface. Attempts have supplies are expected to be ⭐1.0 V for sub-0.13 m CMOS
been made to use doped poly-Si gates with Ta2O5, by depos- technology, V T ⬃0.5 V is much too large, as it would be
iting a CVD SiO2 reaction barrier, which is of lower electri- difficult to turn on the device. Typical threshold voltages for
cal quality than thermal oxide, on top of the Ta2O5 layer.71 these devices are expected to be 0.2–0.3 V. Compensation
The presence of SiO2 at both the channel and gate interface implants can be made in the channel to lower the V T , but
predictably limited the device performance, and the lowest other concerns then arise regarding increased impurity ion
obtainable oxide equivalent was t eq⫽23Å. Even initial at- scattering, which would degrade the channel carrier mobility.
tempts to use poly-Si gates with ZrO2116,120 have been un- Furthermore, midgap work function metal gate systems have
successful 共recent work, however, has shown improved been predicted not to provide a performance improvement
poly-Si stability on HfO2兲,125,126 as reaction layers have been worthy of the added process complexity to replace Si-based
observed at the interface. On the other hand, another benefit gates.162
of a pseudobinary system such as a silicate116,137 in contact The second main approach toward metal electrodes in-
with a poly-Si electrode is more inherent stability, since a volves two separate metals, one for pMOS and one for
significant amount of Si is already contained within the di- nMOS devices. As shown in Fig. 27共b兲, two metals could be
electric. Al2O3 has been shown to be stable with respect to chosen by their work functions, ⌽ M , such that their Fermi
reaction with the poly-Si gates throughout typical CMOS levels line up favorably with the conduction and valence
processing, as expected.90–92 As mentioned previously in bands of Si, respectively. In the ideal case depicted in Fig.
Sec. V C 1, however, both boron and phosphorous dopant 27共b兲, the ⌽ M value of Al could achieve V T ⬃0.2 V for
diffusion have been observed with Al2O3 gate dielectrics, nMOS, while the higher ⌽ M value of Pt could achieve V T
which cause significant, undesired shifts of V FB and V T ⬃0.2 V for pMOS. In practice, Al is not a feasible electrode
values.90,92 These results place more emphasis on the need to metal because it will reduce nearly any oxide gate dielectric
better understand dopant diffusion through all potential to form an Al2O3-containing interface layer. Other metals
high- candidates. with relatively low work functions, such as Ta and TaN,
Metal gates are very desirable for eliminating dopant however, are feasible gate metals for nMOS. Similarly for
depletion effects and sheet resistance constraints. In addition, pMOS, Pt is not a practical choice for the gate metal, since
use of metal gates in a replacement gate process69,139 can it is not easily processed, does not adhere well to most di-
lower the required thermal budget by eliminating the need electrics, and is expensive. Other elemental metals with high
for a dopant activation anneal in the poly-Si electrode. There ⌽ M values such as Au are also not practical, for the same
are two basic approaches toward achieving successful inser- reasons as for Pt.
tion of metal electrodes: a single midgap metal or two sepa- As an alternative to elemental metals, conducting metal
rate metals. The energy diagrams associated with these two oxides such as IrO2 and RuO2, which have been studied for
approaches are shown in Fig. 27. years in DRAM applications,1 can provide high ⌽ M values
The first approach is to use a metal 共such as TiN兲 that in addition to affording the use of standard etching and pro-
has a work function that places its Fermi level at the midgap cessing techniques. Alloys of these and similar conducting
of the Si substrate, as shown in Fig. 27共a兲. These are gener- oxides can also potentially be fabricated to achieve a desired
ally referred to as ‘‘midgap metals.’’ The main advantage of work function. Regarding potential gate electrodes for
employing a midgap metal arises from a symmetrical V T pMOS devices, Zhong et al.163,164 made initial measure-
value for both nMOS and pMOS, because by definition the ments of the important properties of RuO2, including thermal
same energy difference exists between the metal Fermi level stability up to 800 °C, a low resistivity of 65 ⍀ cm, and a
and the conduction and valence bands of Si. This affords a measured work function of ⌽ M ⫽5.1 eV. All of the measure-
simpler CMOS processing scheme, since only one mask and ments were made on capacitors with both SiO2 and Zr-
5272 J. Appl. Phys., Vol. 89, No. 10, 15 May 2001 Appl. Phys. Rev.: Wilk, Wallace, and Anthony
silicate dielectrics. More work must be done to better under- iting Al2O3, 87 ZrO2, 113,118,120,127 and HfO2120 appears to pro-
stand alternative metal electrodes, both for midgap metal and vide much promise, where self-limiting chemistries are em-
dual metal approaches, as a means to alleviate potentially ployed to control film formation in a layer-by-layer fashion.
limiting properties of doped poly-Si. As discussed before, attention to the surface preparation and
It should also be noted that for the scaling trend to sub- the resultant chemistry must be carefully considered.
0.1 m CMOS, other efforts are focused on using poly- In addition to the examples discussed above which em-
Si1⫺x Gex gates for achieving higher boron activation ploy PVD and CVD methods, very high- dielectrics, such
levels165,166 and therefore better performance in pMOS de- as SrTiO3 have been deposited directly on Si using MBE
vices, and potentially better performance in nMOS devices methods 共Fig. 11兲. An equivalent oxide thickness of less than
as well.166 It is therefore still desirable to employ a gate 10 Å was reported 共physical thickness 110 Å兲 with dramatic
dielectric which will be compatible in direct contact with improvements in transistor performance 共Fig. 10兲. More re-
Si-based gates. The pseudobinary alloys mentioned in Sec. cently, crystalline ZrO2 共stabilized by Y2O3 ) has also been
V C 3 are predicted to be stable next to Si-based gates as well examined by MBE methods.167 However, a manufacturable
as metal gates. Since doped poly-Si is the incumbent gate scaled CMOS process incorporating MBE methods, with the
electrode material, however, dopant diffusion studies must inherent poor throughput relative to present Si-based fabri-
be carried out to determine how dopants in poly-Si diffuse cation operations, remains a clear challenge. Further ad-
through any potential high- gate material. vances in ALCVD approaches, however, may make such
For CMOS scaling in the longer term, however, current polycrystalline dielectrics a reality in the manufacturing en-
roadmap predictions indicate that poly-Si gate technology vironment.
will likely be phased out beyond the 70 nm node, after which
a metal gate substitute appears to be required.5 It is therefore
also desirable to focus efforts on dielectric materials systems G. Reliability
which are compatible with potential metal gate materials. A As previously discussed in Sec. IV B, the electrical reli-
key issue for gate electrode materials research will be the ability of a new gate dielectric must also be considered criti-
control of the gate electrode work function 共Fermi level兲 af- cal for application in CMOS technology. The determination
ter CMOS processing. of whether or not a high- dielectric satisfies the strict reli-
ability criteria requires a well-characterized materials
system—a prospect not yet available for the alternate dielec-
F. Process compatibility
tric materials considered here. The nuances of 共1兲 the depen-
A crucial factor in determining the final film quality and dence of voltage acceleration extrapolation on dielectric
properties is the method by which the dielectrics are depos- thickness and 共2兲 the improvement of reliability projection
ited in a fabrication process. The deposition process for the arising from improved oxide thickness uniformity, both dis-
dielectric must be compatible with current or expected cussed in Sec. IV B, have only recently become understood,
CMOS processing, cost, and throughput. Since all of the fea- despite decades of research on SiO2. This further emphasizes
sible deposition techniques available occur under nonequilib- the importance and urgency to investigate the reliability
rium conditions, it is certainly possible to obtain properties characteristics of alternative dielectrics, as these materials
different from those expected under equilibrium conditions. are sure to exhibit subtleties in reliability that differ from
It is therefore important to consider the various methods for those of SiO2.
depositing the gate dielectrics, and the following techniques This being stated, some preliminary projections for reli-
will be discussed here: physical vapor deposition 共PVD兲 ability, as determined by stess-induced leakage current
共e.g., sputtering and evaporation兲, CVD, ALCVD, and MBE. 共SILC兲, time-dependent dielectric breakdown, and mean
PVD methods have provided a convenient means to time to failure measurements, appear to be encouraging for
evaluate materials systems for alternate dielectric applica- Al2O389–91 and HfO2 films.122,124 Similar preliminary mea-
tions. The damage inherent in a sputter PVD process, how- surements of ZrO2 films appear to be somewhat mixed, as
ever, results in surface damage and thereby creates unwanted both very promising114 and less encouraging139 results have
interfacial states. Additionally, device morphology inherent been reported. Despite excellent ten-year reliability projec-
to the scaling process generally rules out such line-of-sight tions at high applied voltages of 2.0–2.5 V reported for these
PVD deposition approaches. For this reason, CVD methods materials,89–91,114,122,124 it is essential to carry out proper area
have proven to be quite successful in providing uniform cov- scaling conversion, to account for the difference between
erage over complicated device topologies. individual capacitors in these cases, and a full chip area in a
As pointed out, the reaction kinetics associated with film realistic situation.32 Proper area scaling can significantly de-
CVD deposition require careful attention in order to control grade the ten-year reliability projection. Results of reliability
interfacial layer formation. The precursor employed in the investigations for pseudobinary alloys are not yet published.
deposition process must also be tailored to avoid unwanted It is clear, however, that the determination of the preferred
impurities in the film as well as permit useful final compo- dielectric constituent composition has yet to be completed
sitions in the dielectric film. Indeed, a graded composition thus making even initial reliability extrapolations problem-
for dielectric films may be a key requirement in order to atic. Moreover, recent lessons from the scaling changes as-
control interface state formation to a level comparable to sociated with ultrathin SiO2 may come into play with these
SiO2. The recent application of ALCVD methods for depos- new materials. Clearly, more work in production-worthy de-
J. Appl. Phys., Vol. 89, No. 10, 15 May 2001 Appl. Phys. Rev.: Wilk, Wallace, and Anthony 5273
velopment fabrication facilities will be required to critically nologies, and Luigi Colombo of Texas Instruments, for many
address these issues. useful discussions. The authors are grateful to Charles Wilk
for several insightful suggestions regarding the manuscript.
VII. CONCLUSIONS One of the authors 共R.M.W.兲 gratefully acknowledges the
A review of the state-of-the-art of the ongoing research support of the Texas Advanced Technology Program, the
for an alternative gate dielectric to SiO2 for Si-based CMOS Semiconductor Research Corporation, and the Defense Ad-
was presented. Key materials considerations discussed in- vanced Research Projects Agency in the preparation of this
clude: 共a兲 permittivity, band gap, and barrier height, 共b兲 ther- review.
modynamic stability on Si, 共c兲 interface quality, 共d兲 film 1
T. Hori, Gate Dielectrics and MOS ULSIs 共Springer, New York, 1997兲.
2
morphology, 共e兲 gate compatibility, 共f兲 process compatibility, R. H. Dennard, F. H. Gaensslen, H.-N. Yu, V. L. Rideout, E. Bassous,
and A. R. LeBlanc, J. IEEE SC–9, 256 共1974兲.
and 共g兲 reliability. A material which satisfies all of these 3
G. Baccarani, M. R. Wordeman, and R. H. Dennard, IEEE Trans. Elec-
considerations has yet to be determined, but several promis- tron Devices 31, 452 共1984兲.
ing candidates have been identified. The pseudobinary mate- 4
P. A. Packan, Science 285, 2079 共1999兲.
5
rials systems, however, currently offer the most promise to- See The International Technology Roadmap for Semiconductors, Semi-
conductor Industry Association; see also http: //public.itrs.net/ for the
ward the ultimate goal of integrating a gate dielectric into most recent updates 共1999兲.
future CMOS technology nodes. Whether pseudobinary ma- 6
R. Rios and N. D. Arora, Tech. Dig. Int. Electron Devices Meet. 1994,
terials are best-suited as an interfacial layer to Si with a 613 共1994兲.
higher- layer on top, or are able to comprise the entire gate
7
The relative permittivity of a material is often given by ⑀ or ⑀ r , such as
with the expression C⫽ ⑀⑀ 0 A/t. The relation between and ⑀ varies
dielectric stack remains to be determined. Extensive research
depending on the choice of units 共e.g., when ⑀ 0 ⫽1兲, but since it is always
and development efforts are underway to narrow the field of the case that ⬀ ⑀ , in this review we use the definition ⫽ ⑀ .
candidates further. 8
A. Chatterjee, M. Rodder, and I-C. Chen, IEEE Trans. Electron Devices
Any potential alternative dielectric faces several funda- 45, 1246 共1998兲.
mental concerns, in addition to those outlined earlier, regard-
9
S. M. Sze, Physics of Semiconductor Devices, 2nd ed. 共Wiley, New York,
1981兲.
ing CMOS scaling which must be better understood and 10
E. H. Nicollian and J. R. Brews, MOS (Metal Oxide Semiconductor)
overcome before successful insertion of a new material will Physics and Technology 共Wiley, New York, 1982兲.
occur. These fundamental limitations include fixed charge, 11
G. Lucovsky 共private communication兲.
12
dopant depletion in the poly-Si gate electrode, and an in- D. A. Muller, T. Sorsch, S. Moccio, F. H. Baumann, K. Evans-Lutterodt,
and G. Timp, Nature 共London兲 399, 758 共1999兲; D. A. Muller, ‘‘Charac-
creasing electric field in the channel region, which decreases terization and Metrology for ULSI Technology,’’ Intl. Conf. 2000, edited
device performance. Furthermore, dopant diffusion charac- by D. G. Seiler, A. C. Diebold, T. J. Shaffner, R. McDonald, W. M.
teristics, failure mechanisms, and reliability of any potential Bullis, P. J. Smith, and E. M. Secula, p. 500.
13
high- dielectric need to be understood. The semiconductor S. Tang, R. M. Wallace, A. Seabaugh, and D. King-Smith, Appl. Surf.
Sci. 135, 137 共1998兲.
industry has enjoyed the excellent reliability characteristics 14
J. B. Neaton, D. A. Muller, and N. W. Ashcroft, Phys. Rev. Lett. 85,
of SiO2 for many years, but any new material will certainly 1298 共2000兲.
exhibit different behavior, which may or may not have del-
15
A. A. Demkov and O. F. Sankey, Phys. Rev. Lett. 83, 2038 共1999兲.
16
J. L. Alay and M. Hirose, J. Appl. Phys. 81, 1606 共1997兲.
eterious effects on device performance. The stringent re- 17
B. Brar, G. D. Wilk, and A. C. Seabaugh, Appl. Phys. Lett. 69, 2728
quirements for ten year reliability of CMOS devices will be 共1996兲.
a demanding challenge on any high- materials candidates. 18
Z. H. Lu, J. P. McCaffrey, B. Brar, G. D. Wilk, R. M. Wallace, L. C.
An even greater challenge is the adoption of a new can- Feldman, and S. P. Tay, Appl. Phys. Lett. 71, 2764 共1997兲.
19
H. S. Momose, M. Ono, T. Yoshitomi, T. Ohguro, S.-I. Nakamura, M.
didate in the time frame required by the industry roadmap Saito, and H. Iwai, IEEE Trans. Electron Devices 43, 1233 共1996兲.
共⬃4–5 years兲 in order to maintain cost/performance trends. 20
G. Timp, A. Agarwal, F. H. Baumann, T. Boone, M. Buonanno, R. Cir-
The industry has enjoyed the fruits of over 30 years of re- elli, V. Donnelly, M. Foad, D. Grant, M. Green et al., Tech. Dig. Int.
search and development on the SiO2 /Si materials system—a Electron Devices Meet. 1997, p. 930.
21
G. Timp, K. K. Bourdelle, J. E. Bower, F. H. Baumann, T. Boone, R.
fact not always recognized in technology development plan- Cirelli, K. Evans-Lutterodt, J. Garno, A. Ghetti, H. Gossmann et al.,
ning. A new generation of scientists and engineers will be Tech. Dig. Int. Electron Devices Meet. 1998, p. 615.
22
challenged by not only integrating these new materials in a G. Timp, J. Bude, K. K. Bourdelle, J. Garno, A. Ghetti, H. Gossmann, M.
timely manner, but also by avoiding the mistakes of the past. Green, G. Forsyth, Y. Kim, R. Kleimann et al., Tech. Dig. Int. Electron
Devices Meet. 1999, p. 55.
Opportunities to revolutionize an industry like these are in- 23
B. Yu, H. Wang, C. Riccobene, Q. Xiang, and M.-R. Lin, VLSI Tech.
deed rare! Dig. 2000, p. 90.
24
T. Ghani, K. Mistry, P. Packan, S. Thompson, M. Stettler, S. Tyagi, and
ACKNOWLEDGMENTS M. Bohr, Tech. Dig. VLSI Symp. 2000, p. 174; R. R. Chau, J. Kava-
lieros, B. Roberds, R. Schenker, D. Lionberger, D. Barlage, B. Doyle, R.
The authors acknowledge useful discussions with Scott Arghavani, A. Murthy, and G. Dewey, Tech. Int. Electron Devices Meet.
Summerfelt of Texas Instruments in the early work on Hf 2000, p. 45.
25
B. E. Weir, P. J. Silverman, M. A. Alam, F. Baumann, D. Monroe, A.
and Zr silicates. The authors also gratefully acknowledge the
Ghetti, J. D. Bude, G. L. Timp, A. Hamad, T. M. Oberdick et al., Tech.
discussions and data provided by Professor Bruce Gnade of Dig. Int. Electron Devices Meet. 1999, p. 437.
University of North Texas, and Professor Gerry Lucovsky 26
H. Iwai, H. S. Momose, and S. Ohmi, Proc.-Electrochem. Soc. 2000-2,
and Professor Greg Parsons of North Carolina State Univer- p. 3.
27
J. H. Stathis and D. J. DiMaria, Tech. Dig. Int. Electron Devices Meet.
sity prior to publication. The authors thank Don Monroe and 1998, p. 167; Appl. Phys. Lett. 71, 3230 共1997兲.
Jack Hergenrother of Agere Systems 共formerly of Bell Labo- 28
C. Hu, Tech. Dig. Int. Electron Devices Meet. 1996, p. 319.
29
ratories兲, David Muller of Bell Laboratories, Lucent Tech- Y. Taur, D. A. Buchanan, W. Chen, D. J. Frank, K. E. Ismail, S.-H. Lo,
5274 J. Appl. Phys., Vol. 89, No. 10, 15 May 2001 Appl. Phys. Rev.: Wilk, Wallace, and Anthony
67
G. A. Sai-Halasz, R. G. Viswanathan, H.-J. C. Wann, S. J. Wind, and D. Hisamoto, T. Kaga, and E. Takeda, IEEE Trans. Electron Devices 38,
H.-S. P. Wong, Proc. IEEE 85, 486 共1997兲. 1419 共1991兲.
30 68
M. Alam, B. Weir, P. Silverman, J. Bude, A. Ghetti, Y. Ma, M. M. X. Huang, W.-C. Lee, C. Kuo, D. Hisamoto, L. Chang, J. Kedzierski, E.
Brown, D. Hwang, and A. Hamad, Proc.-Electrochem. Soc. 2000-2, p. Anderson, H. Takeuchi, Y. K. Choi, K. Asano et al., Tech. Dig. Int.
365. Electron Devices Meet. 1999, p. 67.
31 69
J. H. Stahis, A. Vayshenker, P. R. Varekamp, E. Y. Yu, C. Montrose, J. A. Chatterjee, R. A. Chapman, K. Joyner, M. Otobe, S. Hattangady, M.
McKenna, D. J. DiMaria, L.-K. Han, E. Cartier, R. A. Wachnik, and B. P. Bevan, G. A. Brown, H. Yang, Q. He, D. Rogers et al., Tech. Dig. Int.
Linder, Tech. Dig. VLSI Symp. 2000, p. 94. Electron Devices Meet. 1998, p. 777.
32
D. A. Buchanan, IBM J. Res. Dev. 43, 245 共1999兲; J. H. Stathis and D. J. 70
P. K. Roy and I. C. Kizilyalli, Appl. Phys. Lett. 72, 2835 共1998兲.
DiMaria, Microelectron. Eng. 48, 395 共1999兲. 71
I. C. Kizilyalli, R. Y. S. Huang, and P. K. Roy, IEEE Electron Device
33
P. E. Nicollian, W. R. Hunter, and J. Hu, Tech. Dig. IRPS Symp. 2000, Lett. 19, 423 共1998兲.
p. 7. 72
Q. Lu, D. Park, A. Kalnitsky, C. Chang, C. C. Cheng, S. P. Tay, Y.-C.
34
E. Wu, E. Nowack, L. Han, D. Dufresne, and W. Abadeer, Tech. Dig. Int. King, T.-J. King, C. Hu, IEEE Electron Device Lett. 19, 341 共1998兲.
Electron Devices Meet. 1999, p. 441. 73
D. Park, Y.-C. King, Q. Lu, T.-J. King, C. Hu, A. Kalnitsky, S.-P. Tay,
35
B. E. Weir, M. A. Alam, J. D. Bude, P. J. Silverman, A. Ghetti, F. and C.-C. Cheng, IEEE Electron Device Lett. 19, 441 共1998兲.
Baumann, P. Diodato, D. Monroe, T. Sorsch, g. L. Timp, Y. Ma, M. M. 74
H. F. Luan, B. Z. Wu, L. G. Kang, B. Y. Kim, R. Vrtis, D. Roberts, and
Brown et al., Semicond. Sci. Technol. 15, 455 共2000兲. D. L. Kwong, Tech. Dig. Int. Electron Devices Meet. 1998, p. 609.
36
M. Alam, J. Bude, and A. Ghetti, Tech. Dig. IRPS Symp., 2000, p. 21. 75
G. B. Alers, D. J. Werder, Y. Chabal, H. C. Lu, E. P. Gusev, E. Gar-
37
R. Degraeve, G. Groeseneken, R. Bellens, M. Depas, and H. E. Maes, funkel, T. Gustafsson, and R. S. Urdahl, Appl. Phys. Lett. 73, 1517
Tech. Dig. Int. Electron Devices Meet. 1995, p. 863. 共1998兲.
38
R. Degraeve, G. Groeseneken, R. Bellens, J. L. Ogier, M. Depas, Ph. 76
Y. Nishioka, N. Homma, H. Shinriki, K. Mukai, K. Yamaguchi, A.
Roussel, and H. E. Maes, IEEE Trans. Electron Devices 45, 904 共1998兲. Yuchida, K. Higeta, and K. Ogiue, IEEE Trans. Electron Devices ED–
39
M. A. Alam, B. E. Weir, J. D. Bude, P. J. Silverman, and D. Monroe, 34, 1957 共1987兲; Y. Nishioka, H. Shinriki, and K. Mukai, J. Appl. Phys.
Tech. Dig. Int. Electron Devices Meet. 1999, p. 449. 61, 2335 共1987兲.
40
D. J. DiMaria and J. H. Stathis, Appl. Phys. Lett. 74, 1752 共1999兲; Proc.- 77
C. Chaneliere, J. L. Autran, R. A. B. Devine, and B. Balland, Mater. Sci.
Electrochem. Soc. 2000-2, p. 33.
Eng., R. 22, 269 共1998兲.
41
J. H. Stathis, J. Appl. Phys. 86, 5757 共1999兲. 78
R. M. Fleming, D. V. Lang, C. D. W. Jones, M. L. Steigerwald, D. W.
42
A. I. Kingon, J. P. Maria, and S. K. Streiffer, Nature 共London兲 406, 1032
Murphy, G. B. Alers, Y. H. Wong, R. B. van Dover, J. R. Kwo, and A.
共2000兲.
43 M. Sergent, J. Appl. Phys. 88, 850 共2000兲.
M. Cao, P. V. Voorde, M. Cox, and W. Greene, IEEE Electron Device 79
R. A. McKee, F. J. Walker, and M. F. Chisholm, Phys. Rev. Lett. 81,
Lett. 19, 291 共1998兲.
44 3014 共1998兲.
J. Sapjeta, T. Boone, J. M. Rosamilia, P. J. Silverman, T. W. Sorsch, G. 80
R. A. McKee, F. J. Walker, and M. F. Chisholm, Mater. Res. Soc. Symp.
Timp, and B. E. Weir, Mater. Res. Soc. Symp. Proc. 477, 203 共1997兲.
45 Proc. 567, 415 共1999兲.
G. D. Wilk, Y. Wei, H. Edwards, and R. M. Wallace, Appl. Phys. Lett. 81
Z. Yu, R. Droopad, J. Ramdani, J. A. Curless, C. D. Overgaard, J. M.
70, 2288 共1997兲.
46 Finder, K. W. Eisenbeiser, J. Wang, J. A. Hallmark, and W. J. Ooms,
G. Lucovsky, A. Banerjee, B. Hinds, B. Clafflin, K. Koh, and H. Yang, J.
Mater. Res. Soc. Symp. Proc. 567, 427 共1999兲.
Vac. Sci. Technol. B 15, 1074 共1997兲. 82
K. Eisenbeiser, J. M. Finder, Z. Yu, J. Ramdani, J. A. Curless, J. A.
47
G. D. Wilk and B. Brar, IEEE Electron Device Lett. 20, 132 共1999兲.
48 Hallmark, R. Droopad, W. J. Ooms, L. Salem, S. Bradshaw, and C. D.
Y. Wei, R. M. Wallace, and A. C. Seabaugh, Appl. Phys. Lett. 69, 1270
Overgaard, Appl. Phys. Lett. 76, 1324 共2000兲.
共1996兲. 83
49 Z. Yu, J. Ramdani, J. A. Curless, J. M. Finder, C. D. Overgaard, R.
Y. Wei, R. M. Wallace, and A. C. Seabaugh, J. Appl. Phys. 81, 6415
Droopad, K. W. Eisenbeiser, J. A. Hallmark, W. J. Ooms, J. R. Conner,
共1997兲.
50
S. Tang, Y. Wei, and R. M. Wallace, Surf. Sci. Lett. 387, L1057 共1997兲. and V. S. Kaushik, J. Vac. Sci. Technol. B 18, 1653 共2000兲.
84
51
S. V. Hattangady, R. Kraft, D. T. Grider, M. A. Douglas, G. A. Brown, P. Z. Yu, J. Ramdani, J. A. Curless, C. D. Overgaard, J. M. Finder, R.
A. Tiner, J. W. Kuehne, P. E. Nicollian, and M. F. Pas, Tech. Dig. Int. Droopad, K. W. Eisenbeiser, J. A. Hallmark, W. J. Ooms, and V. S.
Electron Devices Meet. 1996, p. 495. Kaushik, J. Vac. Sci. Technol. B 18, 2139 共2000兲.
85
52
Y. Wu and G. Lucovsky, IEEE Electron Device Lett. 19, 367 共1998兲. T. M. Klein, D. Niu, W. S. Epling, W. Li, D. M. Maher, C. C. Hobbs, R.
53
X. W. Wang, Y. Shi, and T. P. Ma, Tech. Dig. VLSI Symp. 1995, p. 109. I. Hedge, I. J. R. Baumvol, and G. N. Parsons, Appl. Phys. Lett. 75, 4001
54
K. A. Ellis and R. A. Buhrman, Appl. Phys. Lett. 74, 967 共1999兲. 共1999兲.
86
55
K. A. Ellis and R. A. Buhrman, J. Electrochem. Soc. 145, 2068 共1998兲. L. Manchanda, W. H. Lee, J. E. Bower, F. H. Baumann, W. L. Brown, C.
56
H. Yang and G. Lucovsky, Tech. Dig. Int. Electron Devices Meet. 1999, J. Case, R. C. Keller, Y. O. Kim, E. J. Laskowski, M. D. Morris et al.,
p. 245. Tech. Dig. Int. Electron Devices Meet. 1998, p. 605.
87
57
V. Misra, H. Lazar, M. Kulkarni, Z. Wang, G. Lucovsky, and J. R. E. P. Gusev, M. Copel, E. Cartier, I. J. R. Baumvol, C. Krug, and M. A.
Hauser, Mater. Res. Soc. Symp. Proc. 567, 89 共1999兲. Gribelyuk, Appl. Phys. Lett. 76, 176 共2000兲.
88
58
G. Lucovsky, Y. Wu, H. Niimi, V. Misra, and J. C. Phillips, Appl. Phys. A. Chin, C. C. Liao, C. H. Liu, W. J. Chen, and C. Tsai, Tech. Dig. VLSI
Lett. 74, 2005 共1999兲. Symp. 1999, p. 135.
89
59
S. C. Song, H. F. Luan, Y. Y. Chen, M. Gardner, J. Fulford, M. Allen, A. Chin, Y. H. Wu, S. B. Chen, C. C. Liao, and W. J. Chen, Tech. Dig.
and D. L. Kwong, Tech. Dig. Int. Electron Devices Meet. 1998, p. 373. VLSI Symp. 2000, p. 16.
90
60
X. Guo and T. P. Ma, IEEE Electron Device Lett. 19, 207 共1998兲. D.-G. Park, H.-J. Cho, C. Lim, I.-S. Yeo, J.-S. Roh, C.-T. Kim, and J.-M.
61
S. Song, W. S. Kim, J. S. Lee, T. H. Choe, J. K. Choi, M. S. Kang, U. I. Hwang, Tech. Dig. VLSI Symp. 2000, p. 46.
91
Chung, N. I. Lee, K. Fujihara, H. K. Kang et al., Tech. Dig. VLSI Symp. D. A. Buchanan, E. P. Gusev, E. Cartier, H. Okorn-Schmidt, K. Rim, M.
2000, p. 190. A. Gribelyuk, A. Mocuta, A. Ajmera, M. Copel, S. Guha et al., Tech.
62
J. M. Hergenrother, D. Monroe, F. P. Klemens, A. Kornblit, G. R. Weber, Dig. Int. Electron Devices Meet. 2000, p. 223.
92
W. M. Mansfield, M. R. Baker, F. H. Baumann, K. J. Bolan, J. E. Bower J. H. Lee, K. Koh, N. I. Lee, M. H. Cho, Y. K. Kim, J. S. Jeon, K. H.
et al., Tech. Dig. Int. Electron Devices Meet. 1999, p. 75. Cho, H. S. Shin, M. H. Kim, K. Fujihara et al., Tech. Dig. Int. Electron
63
S.-H. Oh, J. M. Hergenrother, T. Nigam, D. Monroe, F. P. Klemens, A. Devices Meet. 2000, p. 645.
93
Kornblit, W. M. Mansfield, M. R. Baker, D. L. Barr, F. H. Baumann J. Kolodzey, E. A. Chowdhury, G. Qui, J. Olowolafe, C. P. Swann, K. M.
et al., Tech. Dig. Int. Electron Devices Meet. 2000, p. 65. Unruh, J. Suehle, R. G. Wilson, and J. M. Zavada, Appl. Phys. Lett. 71,
64
L. Risch, W. H. Krautschneider, F. Hofmann, H. Schäfer, T. Aeugle, and 3802 共1997兲.
94
W. Rösner, IEEE Trans. Electron Devices 43, 1495 共1996兲. See, for example, R. M. Wallace and Y. Wei, J. Vac. Sci. Technol. B 17,
65
C. P. Auth and J. D. Plummer, IEEE Device Res. Conf. Tech. Dig. 1996, 970 共1999兲, and references therein.
p. 108. 95
H. B. Michaelson, J. Appl. Phys. 48, 4729 共1977兲.
66 96
H.-S. P. Wong, K. K. Chan, and Y. Taur, Tech. Dig. Int. Electron De- L. Manchanda and M. Gurvitch, IEEE Electron Device Lett. 9, 180
vices Meet. 1997, p. 427. 共1988兲.
J. Appl. Phys., Vol. 89, No. 10, 15 May 2001 Appl. Phys. Rev.: Wilk, Wallace, and Anthony 5275
97
M. Gurvitch, L. Manchanda, and J. M. Gibson, Appl. Phys. Lett. 51, 919 129
V. V. Afanas’ev and A. Stesmans, Phys. Rev. Lett. 80, 5176 共1998兲.
共1987兲. 130
From W. T. Adams in Zirconium and Hafnium, A Chapter from Mineral
98
J. Kwo, M. Hong, A. R. Kortan, K. T. Queeney, Y. J. Chabal, J. P. Facts and Problems 共U.S. Dept. of Interior, Bureau of Mines Preprint
Mannaerts, T. Boone, J. J. Krajewski, A. M. Sergent, and J. M. from Bulletin 675, U.S. Govt. Printing Office, Washington, D.C., 1985兲,
Rosamilia, Appl. Phys. Lett. 77, 130 共2000兲. pp. 946–947.
99
S. Guha, E. Cartier, M. A. Gribelyuk, N. A. Borjarczuk, and M. A. Copel, 131
B. E. Gnade and R. M. Wallace 共private communication兲.
Appl. Phys. Lett. 77, 2710 共2000兲. 132
J. F. Ziegler, IBM J. Res. Dev. 40, 19 共1996兲.
100
J. J. Chambers and G. N. Parsons, Appl. Phys. Lett. 77, 2385 共2000兲. 133
M. A. Russack, C. V. Jahnes, and E. P. Katz, J. Vac. Sci. Technol. A 7,
101
H. J. Osten, J. P. Liu, P. Gaworzewski, E. Bugiel, and P. Zaumseil, Tech. 1248 共1989兲.
Dig. Int. Electron Devices Meet. 2000, 653 共2000兲. 134
S. Roberts, J. G. Ryan, and D. W. Martin, in Emerging Semiconductor
102
S. A. Campbell, D. C. Gilmer, X. Wang, M. T. Hsich, H. S. Kim, W. L. Technology, ASTM STP 960, edited by D. C. Gupta and P. H. Langer
Gladfelter, and J. H. Yan, IEEE Trans. Electron Devices 44, 104 共1997兲. 共ASTM, Philadelphia, 1986兲, p. 137.
103
C. J. Taylor, D. C. Gilmer, D. Colombo, G. D. Wilk, S. A. Campbell, J. 135
G. D. Wilk and R. M. Wallace, Appl. Phys. Lett. 74, 2854 共1999兲.
Roberts, and W. L. Gladfelter, J. Am. Chem. Soc. 121, 5220 共1999兲. 136
G. D. Wilk and R. M. Wallace, Appl. Phys. Lett. 76, 112 共2000兲.
104
D. C. Gilmer, D. G. Colombo, C. J. Taylor, J. Roberts, G. Haustad, S. A. 137
G. D. Wilk, R. M. Wallace, and J. M. Anthony, J. Appl. Phys. 87, 484
Campbell, H.-S. Kim, G. D. Wilk, M. A. Gribelyuk, and W. L. Glad- 共2000兲.
felter, Chem. Vap. Deposition 4, 9 共1998兲. 138
T. Yamaguchi, H. Satake, N. Fukushima, and A. Toriumi, Tech. Dig. Int.
105
B. He, T. Ma, S. A. Campbell, and W. L. Gladfelter, Tech. Dig. Int. Electron Devices Meet. 2000, p. 19.
Electron Devices Meet. 1998, 1038 共1998兲. 139
Y. Ma, Y. Ono, L. Stecker, D. R. Evans, and S. T. Hsu, Tech. Dig. Int.
106
X. Guo, X. Wang, Z. Luo, T. P. Ma, and T. Tamagawa, Tech. Dig. Int. Electron Devices Meet. 1999, p. 149.
Electron Devices Meet. 1999, p. 137. 140
L. Manchanda, M. L. Green, R. B. van Dover, M. D. Morris, A. Kerber,
107
Y. Ma, Y. Ono, and S. T. Hsu, Mater. Res. Soc. Symp. Proc. 567, 355
Y. Hu, J. P. Han, P. J. Silverman, T. W. Sorsch, G. Weber et al., Tech.
共1999兲.
108 Dig. Int. Electron Devices Meet. 2000, p. 23.
C. Hobbs, R. Hedge, B. Maiti, H. Tseng, D. Gilmer, P. Tobin, O. 141
J. C. Phillips, J. Non-Cryst. Solids 34, 153 共1979兲; 47, 203 共1983兲.
Adetutu, F. Huang, D. Weddington, R. Nagabushnam et al., Tech. Dig. 142
J. C. Phillips, J. Vac. Sci. Technol. B 18, 1749 共2000兲.
VLSI Symp. 1999, p. 133. 143
共a兲 W.-J. Qi, R. Nieh, E. Dharmarajan, B. H. Lee, Y. Jeon, L. Kang, K.
109
R. B. van Dover, Appl. Phys. Lett. 74, 3041 共1999兲.
110 Onishi, and J. C. Lee, Appl. Phys. Lett. 77, 1704 共2000兲; 共b兲 M. Copel, E.
M. Balog, M. Schieber, S. Patai, and M. Michman, J. Cryst. Growth 17,
Cortier, and F. M. Ross, Appl. Phys. Lett. 78, 1607 共2001兲; 共c兲 J. A.
298 共1972兲; M. Balog, M. Schieber, M. Michman, and S. Patai, Thin
Gupta, D. Londheer, J. P. McCaffrey, and G. I. Sproule, Appl. Phys. Lett.
Solid Films 41, 247 共1977兲; M. Balog, M. Schieber, M. Michman, and S.
78, 1718 共2001兲.
Patai, ibid. 47, 109 共1977兲; M. Balog, M. Schieber, M. Michman, and S. 144
W. B. Blumenthal, The Chemical Behavior of Zirconium 共Van Nostrand,
Patai, J. Elec. Chem. Soc. 126, 1203 共1979兲.
111 Princeton, 1958兲, pp. 201–219.
J. Shappir, A. Anis, and I. Pinsky, IEEE Trans. Electron Devices ED-33, 145
442 共1986兲. L. Bragg, G. F. Claringbull, and W. H. Taylor, Crystal Structures of
112
A. Kumar, D. Rajdev, and D. L. Douglass, J. Am. Chem. Soc. 55, 439 Minerals 共Cornell University Press, Ithaca, 1965兲, p. 185.
共1972兲.
146
P. J. Harrop and D. S. Campbell, Thin Solid Films 2, 273 共1968兲.
147
113
M. Copel, M. A. Gribelyuk, and E. Gusev, Appl. Phys. Lett. 76, 436 E. M. Vogel, K. Z. Ahmed, B. Hornung, W. Kirklen Henson, P. K.
共2000兲. McLarty, G. Lucovsky, J. R. Hauser, and J. J. Wortman, IEEE Trans.
114
W.-J. Qi, R. Nieh, B. H. Lee, L. Kang, Y. Jeon, K. Onishi, T. Ngai, S. Electron Devices 45, 1350 共1998兲.
148
Banerjee, and J. C. Lee, Tech. Dig. Int. Electron Devices Meet. 1999, p. D. Frank, Y. Taur, and H.-S. P. Wong, IEEE Electron Device Lett. 19,
145. 385 共1998兲.
149
115
W.-J. Qi, R. Nieh, B. H. Lee, K. Onishi, L. Kang, Y. Jeon, J. C. Lee, V. S. Krishnan, G. C.-F. Yeap, B. Yu, Q. Xiang, and M.-R. Lin, Proc. SPIE
Kaushik. B.-Y. Nguyen, L. Prabhu et al., Tech. Dig. VLSI Symp. 2000, 3506, 65 共1998兲.
p. 40.
150
B. Cheng et al., IEEE Trans. Electron Devices 46, 1537 共1999兲.
116
C. H. Lee, H. F. Luan, W. P. Bai, S. J. Lee, T. S. Jeon, Y. Senzaki, D.
151
R. D. Shannon, J. Appl. Phys. 73, 348 共1993兲.
Roberts, and D. L. Kwong, Tech. Dig. Int. Electron Devices Meet. 2000,
152
J. Robertson and C. W. Chen, Appl. Phys. Lett. 74, 1168 共1999兲.
p. 27.
153
J. Robertson, J. Vac. Sci. Technol. B 18, 1785 共2000兲.
154
117
T. Ngai, W.-J. Qi, R. Sharma, J. Fretwell, X. Chen, J. C. Lee, and S. S. O. Kasap, Principles of Electrical Engineering Materials and Devices,
Banerjee, Appl. Phys. Lett. 76, 502 共2000兲. 2nd ed. 共McGraw-Hill, New York, 2002兲.
118
M. Houssa, V. V. Afanas’ev, A. Stesmans, and M. M. Heyns, Appl. Phys.
155
G. Lucovsky and B. Rayner, Appl. Phys. Lett. 77, 2912 共2000兲.
Lett. 77, 1885 共2000兲.
156
R. Beyers, J. Appl. Phys. 56, 147 共1984兲.
119
M. Houssa, M. Tuominen, M. Naili, V. Afanas’ev, A. Stesmans, S.
157
K. J. Hubbard and D. G. Schlom, J. Mater. Res. 11, 2757 共1996兲.
Haukka, and M. M. Heyns, J. Appl. Phys. 87, 8615 共2000兲.
158
S. Q. Wang and J. W. Mayer, J. Appl. Phys. 64, 4711 共1988兲.
159
120
R. C. Smith, N. Hoilien, C. J. Taylor, T. Z. Ma, S. A. Campbell, J. T. I. Barin and O. Knacke, Thermochemical Properties of Inorganic Sub-
Roberts, M. Copel, D. A. Buchanan, M. Gribelyuk, and W. L. Gladfelter, stances 共Springer, Berlin, 1973兲.
J. Electrochem. Soc. 147, 3472 共2000兲.
160
L. B. Pankratz, Thermodynamic Properties of Elements and Oxides 共U.S.
121
H. Zhang, R. Solanki, B. Roberds, G. Bai, and I. Banerjee, J. Appl. Phys. Dept. of Interior, Bureau of Mines Bulletin 672, U.S. Govt. Printing
87, 1921 共2000兲. Office, Washington, D.C., 1982兲.
122
B. H. Lee, L. Kang, W. J. Qi, R. Nieh, Y. Jeon, K. Onishi, and J. C. Lee,
161
S. P. Murarka, Silicides for VLSI Applications 共Academic, New York,
Tech. Dig. Int. Electron Devices Meet. 1999, p. 133; 2000, p. 39. 1983兲.
123 162
B. H. Lee, L. Kang, R. Nieh, W.-J. Qi, and J. C. Lee, Appl. Phys. Lett. S. Murtaza, J. Hu, S. Unnikrishnan, M. Rodder, and I-C. Chen, Proc.
76, 1926 共2000兲. SPIE 3506, 49 共1998兲.
124 163
L. Kang, B. H. Lee, W.-J. Qi, Y. Jeon, R. Nieh, S. Gopalan, K. Onishi, H. Zhong, G. Heuss, and V. Misra, IEEE Electron Device Lett. 21, 593
and J. C. Lee, IEEE Electron Device Lett. 21, 181 共2000兲. 共2000兲.
125 164
L. Kang, K. Onishi, Y. Jeon, B. H. Lee, C. Kang, W.-J. Qi, R. Nieh, S. H. Zhong, G. Heuss, V. Misra, H. Luan, C. H. Lee, and D. L. Kwong,
Gopalan, R. Choi, and J. C. Lee, Tech. Dig. Int. Electron Devices Meet. Appl. Phys. Lett. 78, 1134 共2001兲.
165
2000, p. 35. T.-J. King, J. P. McVittie, K. C. Saraswat, and J. R. Pfiester, IEEE Trans.
126
S. J. Lee, H. F. Luan, W. P. Bai, C. H. Lee, T. S. Jeon, Y. Senzaki, D. Electron Devices 41, 228 共1994兲.
166
Roberts, and D. L. Kwong, Tech. Dig. Int. Electron Devices Meet. 2000, K. Uejima, T. Yamamoto, and T. Mogami, Tech. Dig. Int. Electron De-
p. 31. vices Meet. 2000, p. 445.
127 167
C. M. Perkins, B. B. Triplett, P. C. McIntyre, K. C. Saraswat, S. Haukka, S. J. Wang, C. K. Ong, S. Y. Xu, P. Chen, W. C. Tjiu, J. W. Chai, A. C.
and M. Tuominen 共unpublished兲. H. Huan, W. J. Yoo, J. S. Lim, W. Feng, and W. K. Choi, Appl. Phys.
128
A. H. Edwards, Phys. Rev. B 44, 1832 共1991兲. Lett. 78, 1604 共2001兲.