default search action
ISCAS 2019: Sapporo, Japan
- IEEE International Symposium on Circuits and Systems, ISCAS 2019, Sapporo, Japan, May 26-29, 2019. IEEE 2019, ISBN 978-1-7281-0397-6
- Tommaso Addabbo, Ada Fort, Marco Mugnaini, Hadis Takaloo, Valerio Vignoli, Nicola Petra:
Piecewise Linear Chaotic Maps in Current Mode CMOS Circuits: Nonlinear Distortion Analysis. 1-5 - Elizabeth Adams, Suganthi Venkatachalam, Seok-Bum Ko:
Energy-Efficient Approximate MAC Unit. 1-4 - Jiang Jiang, Haicheng Tu, Yongxiang Xia, Xiang Zhou, Xi Chen:
Robustness of Power Grids Based on a Probability Model of Node Failures. 1-5 - Imon Mondal:
Effect of Circuit Non-Idealities on Active On-Chip Delay Lines. 1-5 - Budianto, Wicky Law, Daniel Pak-Kong Lun:
Deep Learning Based Period Order Detection in Structured Light Three-Dimensional Scanning. 1-5 - Hong Ming Chiu, Kuan-Chih Lin, Tian Sheuan Chang:
Run Time Adaptive Network Slimming for Mobile Environments. 1-4 - Prateek Gupta, Shirisha Gourishetty, Harshini Mandadapu, Zia Abbas:
PVT Variations Aware Robust Transistor Sizing for Power-Delay Optimal CMOS Digital Circuit Design. 1-5 - Cuei-Ling Hsieh, Yi-Chun Hsieh, Jung-Hsun Lin, Hong-Shen Chen, Chieh-Ying Yang, Jenny Yi-Chun Liu:
Signal Generation Techniques in CMOS for Millimeter-Wave and Terahertz Applications. 1-5 - Alexander Serb, Themis Prodromakis:
An Analogue-Domain, Switch-Capacitor-Based Arithmetic-Logic Unit. 1-5 - Jiaxi Zhang, Wentai Zhang, Guojie Luo, Xuechao Wei, Yun Liang, Jason Cong:
Frequency Improvement of Systolic Array-Based CNNs on FPGAs. 1-4 - Florent Cilici, Manuel J. Barragán, Salvador Mir, Estelle Lauga-Larroze, Sylvain Bourdel, Gildas Léger:
Yield Recovery of mm-Wave Power Amplifiers using Variable Decoupling Cells and One-Shot Statistical Calibration. 1-5 - Frank Sill Torres, Alberto García Ortiz, Rolf Drechsler:
HotAging - Impact of Power Dissipation on Hardware Degradation. 1-5 - Xiaohua Huang, Kunnong Zeng, Woogeun Rhee, Zhihua Wang:
A Noise and Spur Reduction Technique for ΔΣ Fractional-N Bang-Bang PLLs with Embedded Phase Domain Filtering. 1-4 - Naoaki Kokubun, Akira Yamaga, Hironori Uchikawa, Daiki Watanabe:
Circuit-Size Reduction for Parallel Chien Search using Minimal Polynomial Degree Reduction. 1-5 - Aleksandra Lekic, Abdelali El Aroudi, Dusan M. Stipanovic:
Polytopic Control of a PV-Fed SEPIC DC-DC Converter. 1-5 - Vahur Kampus, Robert Teschner, Ulrich Gaier, Thomas Linder, Gerhard Nössing, Martin Trojer:
Five-Stage, Power Efficient, Dual Rail, 100MHz, 10dB Programmable Gain Amplifier with Down-Stepping Functions in 28nm CMOS. 1-5 - Yasushi Fuwa, Masato Futagawa, Mitsuru Komatsu:
Establishment of Technology for Constructing Sensor Networks to Support Safe and Secure Areas in Collaboration with the Local Government. 1-5 - Hamidreza Taghvaee, Sergi Abadal, Julius Georgiou, Albert Cabellos-Aparicio, Eduard Alarcón:
Fault Tolerance in Programmable Metasurfaces: The Beam Steering Case. 1-5 - Xinmiao Zhang, Yok Jye Tang:
Reducing Parallel Linear Feedback Shift Register Complexity Through Input Tap Modification. 1-5 - Magni Hussain, William K. Jenkins:
Effectiveness of the Bio-Inspired Firefly Algorithm in Adaptive Signal Processing for Nonlinear Systems. 1-4 - Jitka Kocnová, Zdenek Vasícek:
EA-Based Refactoring of Mapped Logic Circuits. 1-5 - Ginés Doménech-Asensi, Tom J. Kazmierski:
An Efficient Numerical Solution Technique for VLSI Interconnect Equations on Many-Core Processors. 1-5 - Yi-Chia Chen, Shao-Yung Lu, Yu-Te Liao:
Power-Efficient Cyclic Voltammetric Electrochemical Sensing Readout Circuitry with Current-Reducer Ramp Waveform Generation. 1-5 - Jian Wang, Xianbin Li, Guangteng Fan, Zhouhui Tuo:
A Parallel Radix-2k FFT Processor using Single-Port Merged-Bank Memory. 1-5 - Leo Rogers, John McAllister:
Window Size Estimation for Nearest Neighbour Compliant Quantum Circuit Mapping. 1-5 - Mahmoud A. A. Ibrahim, Marvin Onabajo:
Sinusoidal Signal Generation Through Successive Integration. 1-5 - Fengyuan Liu, Nivasan Yogeswaran, William Taube Navaraj, Ravinder Dahiya:
Flexible Logic Circuits by using Van Der Waals Contacted Graphene Field-Effect Transistors. 1-5 - Duy P. Nguyen, Xuan-Tu Tran, Nguyen L. K. Nguyen, Phat T. Nguyen, Anh-Vu Pham:
A Wideband High Efficiency Ka-Band MMIC Power Amplifier for 5G Wireless Communications. 1-5 - Shen-Fu Hsiao, Pei-Hsuan Wu, Jien-Min Chen, Kun-Chih Chen:
Dual-Precision Acceleration of Convolutional Neural Network Computation with Mixed Input and Output Data Reuse. 1-4 - Wenjing Wang, Cong Li, Jiatuo Xu, Xiang Li:
Bridging Fatty Liver Disease and Traditional Chinese Medicine: A Complex Network Approach. 1-5 - Mousam Hossain, Ashiq A. Sakib, Sudarshan K. Srinivasan, Scott C. Smith:
An Equivalence Verification Methodology for Asynchronous Sleep Convention Logic Circuits. 1-5 - Indrajit Das, Nagarjuna Nallam:
Systematic Generation of Flicker and Thermal Noise Canceling Circuits. 1-4 - Xavier Aragonès, Diego Mateo, Enrique Barajas, Albert Crespo-Yepes, Rosana Rodríguez, Javier Martín-Martínez, Montserrat Nafría:
Aging in CMOS RF Linear Power Amplifiers: Experimental Comparison and Modeling. 1-5 - Zhixuan Wang, Yuan Zhong, Cheng Chen, Le Ye, Qianqian Huang, Libo Yang, Yangyuan Wang, Ru Huang:
Ultra-Low Power Hybrid TFET-MOSFET Topologies for Standard Logic Cells with Improved Comprehensive Performance. 1-5 - Zongxian Li, Sheng Li, Lantian Xue, Yonghong Tian:
Semi-Siamese Network for Content-Based Video Relevance Prediction. 1-5 - ChenYang Xia, YouZhe Fan, Chi-Ying Tsui:
A Two-Staged Adaptive Successive Cancellation List Decoding for Polar Codes. 1-5 - Sanggeun Jeon, Jae-Sung Rieh, Moonil Kim:
WR-3 Band Integrated Circuits in InP HBT Technology. 1-5 - Heng Lee, Yi-Heng Wu, Yu-Sheng Lin, Shao-Yi Chien:
Convolutional Neural Network Accelerator with Vector Quantization. 1-5 - He Wang, Nicoleta Cucu Laurenciu, Yande Jiang, Sorin Dan Cotofana:
Atomistic-Level Hysteresis-Aware Graphene Structures Electron Transport Model. 1-5 - Yihan Zhang, Jiajing Wu, Zhenhao Chen, Yuxuan Huang, Zibin Zheng:
Sequential Node/Link Recovery Strategy of Power Grids Based on Q-Learning Approach. 1-5 - Kiyoshi Nishikawa:
Low Variance Adaptation Method for the LMS-Type Adaptive Filters Based on the Gaussian Model. 1-5 - Florian Schraut, Holger Eisenreich, Sebastian Höppner, Christian Mayr:
A Fast Lock-In Ultra Low-Voltage ADPLL Clock Generator with Adaptive Body Biasing in 22nm FDSOI Technology. 1-5 - Vinay Chakravarthi Gogineni, Mrityunjoy Chakraborty:
Partial Diffusion Affine Projection Algorithm Over Clustered Multitask Networks. 1-5 - Qi Nie, Sharad Malik:
SpFlow: Memory-Driven Data Flow Optimization for Sparse Matrix-Matrix Multiplication. 1-5 - Mario Osta, Ali Ibrahim, Michele Magno, Manuel Eggimann, Antonio Pullini, Paolo Gastaldo, Maurizio Valle:
An Energy Efficient System for Touch Modality Classification in Electronic Skin Applications. 1-4 - Pei Peng, Zidong Wang, Zijun Wei, Zhongzheng Tian, Muchan Li, Liming Ren, Yunyi Fu:
Radio-Frequency Power Amplifier Based on CVD Graphene Field-Effect Transistor. 1-4 - Ângelo Nery Crestani Vieira, Paulo Silas Severo de Souza, Wagner dos Santos Marques, Marcelo Da Silva Conterato, Tiago Coelho Ferreto, Marcelo Caggiani Luizelli, Arthur Francisco Lorenzon, Antonio Carlos Schneider Beck Filho, Fábio Diniz Rossi, Jorji Nonaka:
The Impact of Parallel Programming Interfaces on the Aging of a Multicore Embedded Processor. 1-5 - Mineo Kaneko:
A Novel Framework for Procedural Construction of Parallel Prefix Adders. 1-5 - Franz Marcus Schüffny, Sebastian Höppner, Alexander Oefelein, Christian Mayr:
A Multi-Bit PFD Architecture for ADPLLs with Built-In Jitter Self-Calibration. 1-5 - Jongho Kim, Heesu Kim, Hussam Amrouch, Jörg Henkel, Andreas Gerstlauer, Kiyoung Choi:
Aging Gracefully with Approximation. 1-5 - Kuan-Hsien Liu, Tsung-Jung Liu, Chia-Ching Wang, Hsin-Hua Liu, Soo-Chang Pei:
Modern Architecture Style Transfer for Ruin or Old Buildings. 1-5 - Jing Wang, Yici Cai, Ming Yan, Qiang Zhou:
Composite Optimization for Electromigration Reliability and Noise in Power Grid Networks. 1-5 - Ren Li, Reem Alhadrami, Hossein Fariborzi:
BEOL NEM Relay Based Sequential Logic Circuits. 1-4 - Choujun Zhan, Fujian Wu, Zhengdong Wu, Chi K. Tse:
Daily Rainfall Data Construction and Application to Weather Prediction. 1-5 - Irina Kataeva, Shigeki Ohtsuka, Hussein Nili, Hyungjin Kim, Yoshihiko Isobe, Koichi Yako, Dmitri B. Strukov:
Towards the Development of Analog Neuromorphic Chip Prototype with 2.4M Integrated Memristors. 1-5 - Zhe-An Zheng, Vita Pi-Ho Hu:
Improved Read Stability and Writability of Negative Capacitance FinFET SRAM Cell for Subthreshold Operation. 1-5 - Zhengxin Wang, Huihui Yang, Long-xia Qian, Min Xiao, Guo-Ping Jiang, Jinxing Lin:
Synchronization in Heterogeneous Networks Coupled of LC Oscillators Via Sampled-Data Control. 1-5 - Pi-Feng Chiu, Won Ho Choi, Wen Ma, Minghai Qin, Martin Lueker-Boden:
A Binarized Neural Network Accelerator with Differential Crosspoint Memristor Array for Energy-Efficient MAC Operations. 1-5 - Shanthi Pavan:
Simplified Analysis of Total Integrated Noise in Passive Switched-Capacitor and N-Path Filters. 1-5 - Ahmed E. Amer, Ahmed Ashry, Mohamed A. Y. Abdalla, Islam A. Eshrah:
Gilbert Based Power Detector for 5G mm-Wave Transceivers Built-in-Self Test. 1-5 - Lih-Yih Chiou, Chung-Han Wu, Po-Cheng Wei:
A Reliable Delay-Based Physical Unclonable Function with Dark-Bit Avoidance. 1-4 - Wenjian Liu, Jun Lin, Zhongfeng Wang:
USCA: A Unified Systolic Convolution Array Architecture for Accelerating Sparse Neural Network. 1-5 - Keigo Nakatani, Yutaro Yamaguchi, Yuji Komatsuzaki, Shintaro Shinjo:
Millimeter-Wave GaN Power Amplifier MMICs for 5G Application. 1-4 - Mummadi Veerachary:
Design and Analysis of Split-Inductor Based Buck-Boost Converters. 1-5 - Joan Aymerich, Michele Dei, Lluís Terés, Francisco Serra-Graells:
A 72-µW 90-dB Wide-Range Potentiostatic CMOS ΔΣ Modulator with Flicker Noise Cancellation for Smart Electrochemical Sensors. 1-5 - Kayode Sanni, Andreas G. Andreou:
A Mixed-Signal Successive Approximation Architecture for Energy-Efficient Fixed-Point Arithmetic in 16nm FinFET. 1-5 - Thomas Jüngling, Thomas Lymburn, Thomas Stemler, Débora C. Corrêa, David M. Walker, Michael Small:
Reconstruction of Complex Dynamical Systems from Time Series using Reservoir Computing. 1-5 - Zi Yuan Gao, Chia-Ping Chen:
AI Deep Learning with Multiple Labels for Sentiment Classification of Tweets. 1-5 - Sebastian Pointner, Andreas Grimmer, Robert Wille:
Exact Stimuli Minimization for Simulation-Based Verification. 1-5 - Zhufei Chu, Winston Haaswijk, Mathias Soeken, Yinshui Xia, Lun-Yao Wang, Giovanni De Micheli:
Exact Synthesis of Boolean Functions in Majority-of-Five Forms. 1-5 - Calvin Yoji Lee, Ahmed ElShater, Praveen Kumar Venkatachala, Hang Hu, Bohui Xiao, Un-Ku Moon:
Application of Ring-Amplifiers for Low-Power Wide-Bandwidth Digital Subsampling ADC-PLL. 1-5 - Hangxuan Cui, Jun Lin, Suwen Song, Zhongfeng Wang:
A New Probabilistic Gradient Descent Bit Flipping Decoder for LDPC Codes. 1-5 - Mahmoud Nazmy, Omar A. Nasr, Hossam Ali Hassan Fahmy:
A Novel Generic Low Latency Hybrid Architecture for Parallel Pipelined Radix-2k Feed Forward FFT. 1-5 - Fikre Tsigabu Gebreyohannes, Marie-Minerve Louërat, Hassan Aboushady:
Design of a 4th-Order Feed-Forward-Compensated Operational Amplifier for Multi-GHz Sampling Frequency Continuous-Time Bandpass Sigma-Delta Modulators. 1-5 - Nicholas Miscourides, Pantelis Georgiou:
Mismatch Compensation in ISFET Arrays using a Parasitic Programmable Gate. 1-5 - Yusuke Kimura, Amir Masoud Gharehbaghi, Masahiro Fujita:
Signal Selection Methods for Efficient Multi-Target Correction. 1-5 - Sheng Zhang, Wei Xing Zheng:
Subband Adaptive Filtering Algorithm Over Functional Link Neural Network. 1-4 - Andresso da Silva, I. S. Guarany, B. Arruda, Edmar Candeia Gurjão, R. S. Freire:
A Method for Anomaly Prediction in Power Consumption using Long Short-Term Memory and Negative Selection. 1-5 - Yerbol Akhmetov, Alex Pappachen James:
Probabilistic Neural Network with Memristive Crossbar Circuits. 1-5 - Shengwei Ke, Jianping Hu, Xiaoxiao Xu:
Independent-Gate P-Type TFETs using Double Heterojunction Structures. 1-5 - Rintaro Yanagi, Ren Togo, Takahiro Ogawa, Miki Haseyama:
Scene Retrieval from Multiple Resolution Generated Images Based on Text-to-Image GAN. 1-5 - Lini Zheng, Zhen Chen, Wuyang Su, Sen Li, Xi Chen, Xiangdong Liu, Zhen Li:
Event-Trigger Strategy Design and Its Comparative Study for Dynamic State Estimation in Power Systems. 1-5 - Nico Angeli, Oliver Bachmann, Klaus Hofmann:
A 2.5 GHz All-Digital Multiphase DLL and Phase Shifter in 65 nm CMOS using a Scalable Phase-to-Digital Converter. 1-5 - Jill C. Mayeda, Jerry Tsay, Donald Y. C. Lie, Jerry Lopez:
Effective AM-PM Cancellation with Body Bias for 5G CMOS Power Amplifier Design in 22nm FD-SOI. 1-4 - Suman Deb, Anupam Chattopadhyay:
Spintronic Device-Structure for Low-Energy XOR Logic using Domain Wall Motion. 1-5 - Hongjiang Yu, Zhiheng Ouyang, Wei-Ping Zhu, Benoît Champagne, Yunyun Ji:
A Deep Neural Network Based Kalman Filter for Time Domain Speech Enhancement. 1-5 - Dwaipayan Ray, Nithin V. George, Pramod Kumar Meher:
Analysis and Design of Approximate Inner-Product Architectures Based on Distributed Arithmetic. 1-5 - Kazuya Takano, Keiko Kirihara, Kenichi Kawaguchi, Tomonori Usui:
Highway Facilities on the Joban Expressway to Contribute to the Reconstruction of the Tohoku Region. 1-5 - Suwen Song, Jing Tian, Jun Lin, Zhongfeng Wang:
A Novel Low-Complexity Joint Coding and Decoding Algorithm for NB-LDPC Codes. 1-5 - Ting Guo, Bo Chen, Kai Tang, Liheng Lou, Zhongyuan Fang, Bo Yu, Shaoqiang Zhang, Yuanjin Zheng:
A Two-Stage Push-Pull Power Amplifier with Electro-Thermal Effects Study in 130 nm SOI CMOS for IEEE 802.11ac Applications. 1-4 - Takahiro Hosaka, Trong-Thuc Hoang, Van-Phuc Hoang, Duc-Hung Le, Katsumi Inoue, Cong-Kha Pham:
Live Demonstration: Real-Time Auto-Exposure Histogram Equalization Video-System using Frequent Items Counter. 1 - Walter D. Leon-Salas, Xiaozhe Fan, Seungjin Lee:
Live Demonstration: A Wireless Sensor using a Solar Cell Optical Transceiver. 1 - Takashi Ohira, Satoshi Tsukamoto, Naoki Sakai, Shinji Abe, Masayoshi Sugino, Nobukazu Sakura, Kunihiko Sasaki:
Live Demonstration: An HF Capacitive Wireless Power Transfer to a Quad-Rotor Drone. 1 - Ramiro Taco, Itamar Levi, Marco Lanuzza, Alexander Fish:
Live Demo: An 88fJ / 40 MHz [0.4V] - 0.61pJ / 1GHz [0.9V] Dual Mode Logic 8×8-Bit Multiplier Accumulator with a Self-Adjustment Mechanism in 28 nm FD-SOI. 1 - Tomoya Arakawa, Joshin Sone, Mitsuji Okada, Mototsugu Hamada, Tadahiro Kuroda:
Live Demonstration: A Non-Contact Transmission Line Connector for USB3.1 HD-Video Streaming. 1 - Sheng Ma, Libo Huang, Yuanwu Lei, Yang Guo, Zhiying Wang:
An Efficient Direct Memory Access (DMA) Controller for Scientific Computing Accelerators. 1-5 - Nattapong Hatchavanich, Anawach Sangswang, Mongkol Konghirun:
Effects of Intermediate Coil Position in a Triple-Coil Series-Series Compensation in Wireless Power Transfer. 1-5 - Yecheng Lyu, Lin Bai, Xinming Huang:
Road Segmentation using CNN and Distributed LSTM. 1-5 - Dameng Yu, Hui Xiong, Qing Xu, Jianqiang Wang, Keqiang Li:
Continuous Pedestrian Orientation Estimation using Human Keypoints. 1-5 - Prateek Kumar Sharma, Nagarjuna Nallam:
Linearity and NF Tradeoff in Input-Matched N-Path Mixer-First Receivers with Shunt-Feedback TIAs. 1-4 - Xiaowen Bi, Wallace K. S. Tang, Zeyu Han, Jianfeng Zhou:
Distributing Electric Vehicles to the Right Charging Queues. 1-5 - Mahdi Rajabzadeh, Jonathan Ungethuem, Holger Mandry, Carolin Schilpp, Oliver Wittekindt, Maurits Ortmanns:
An Evaluation Study of Various Excitation Signals for Electrical Impedance Spectroscopy. 1-5 - Erus Rustami, Kiyotaka Sasagawa, Yasumi Ohta, Makito Haruta, Toshihiko Noda, Takashi Tokuda, Jun Ohta:
A Thin Composite Emission Filter and Fiber Coupled Laser Excitation for Implantable Fluorescence Imager Application. 1-4 - Longjie Li, Saman S. Abeysekera:
Motion Artefact Removal using Single Beat Classification of Photoplethysmographic Signals. 1-4 - Fabio Pareschi, Raul Blecic, Mauro Mangia, Adrijan Baric, Riccardo Rovatti, Gianluca Setti:
Tuning a Resonant DC/DC Converter on the Second Harmonic for Improving Performance: A Case Study. 1-5 - Federico Bizzarri, Angelo Brambilla, Abdelali El Aroudi:
Nonlinear Analysis of a DC-DC Boost Converter Working as a Maximum Power Point Tracker using Analog-Mixed-Signal Circuit Simulation. 1-5 - Nicola Lupo, Francesco Ravelli, Michele Bartolini, Paolo Pulici, Maurizio Nessi, Franco Maloberti, Edoardo Bonizzoni:
Study of DAC Architectures for Integrated Laser Driver Systems. 1-5 - Josep Maria Margarit-Taulé, Pablo Giménez-Gómez, Roger Escudé-Pujol, Manuel Gutiérrez-Capitán, Cecilia Jiménez-Jorquera, Shih-Chii Liu:
Live Demonstration: A Portable Microsensor Fusion System with Real-Time Measurement for On-Site Beverage Tasting. 1 - Pengfei Xu, Yue Wang, Yang Zhao, Yingyan Lin:
Live Demonstration: Bringing Powerful Deep Learning into Daily-Life Devices (Mobiles and FPGAs) Via Deep k-Means. 1 - Daniel Gutierrez-Galan, Juan Pedro Dominguez-Morales, Fernando Perez-Peña, Angel Jiménez-Fernandez, Alejandro Linares-Barranco:
Live Demonstration: Neuromorphic Robotics, from Audio to Locomotion Through Spiking CPG on SpiNNaker. 1 - Ava Hedayatipour, Kendra Anderson, Nicole McFarlane:
Live Demonstration: A Temperature Sensor with Analog Encryption. 1 - Yue Gao, Boxiao Liu, Hui Li, Yongfu Li, Guoxing Wang, Yong Lian, Chun-Huat Heng:
Live Demonstration: A Pulmonary Conditions Monitor Based on Electrical Impedance Tomography Measurement. 1 - Khushal Sethi, Manan Suri:
Optimized Implementation of Neuromorphic HATS Algorithm on FPGA. 1-5 - Mafalda Benido, Taimur Gibran Rabuske, Jorge R. Fernandes:
Injection Locked Oscillators with Current Reuse. 1-4 - Motoki Ishizaki, Atsushi Kurokawa:
Power Transfer System Combining Wireless Resonators and Wired Three-Coil Repeater. 1-4 - Tran Thi Thao Nguyen, Yuhei Nagao, Nico Surantha, Masayuki Kurosaki, Hiroshi Ochi:
Live Demonstration: Accurate Time Synchronization for Industrial Wireless LAN Systems. 1 - Maha S. Diab, Soliman A. Mahmoud:
A 1.7nW 24 Hz Variable Gain Elliptic Low Pass Filter in 90-nm CMOS for Biosignal Detection. 1-5 - Man-Rong Chen, Hao-Wei Liu, Yi-Hsien Lin, Yi-Chang Lu:
A Special-Purpose Processor for FFT-Based Digital Refocusing using 4-D Light Field Data. 1-5 - Daniel Wagner, Kathrin Kalischewski, Stephan Tilgner, Jörg Velten, Anton Kummert:
Automatic Labeling of Industrial Images by using Generative Adversarial Networks. 1-5 - Kenneth Martin:
Live Demonstration of Matlab Toolbox for Complex Ladder Filter Realizations. 1 - Lech Kolonko, Jörg Velten, Anton Kummert:
Live Demonstration: A Raspberry Pi Based Video Pipeline for 2-D Wave Digital Filters on Low-Cost FPGA Hardware. 1 - Dmytro Mishagli, Eugene Koskin, Elena Blokhina:
Path-Based Statistical Static Timing Analysis for Large Integrated Circuits in a Weak Correlation Approximation. 1-5 - Xilin Yi, Haoran Pei, Ziji Zhang, Hang Zhou, Yajuan He:
Design of an Energy-Efficient Approximate Compressor for Error-Resilient Multiplications. 1-5 - Sathyaprakash Narayanan, Yeshwanth Bethi, Jamal Lottier, Ernst Niebur, Ralph Etienne-Cummings, Chetan Singh Thakur:
Live Demonstration: Real-Time Implementation of Proto-Object Based Visual Saliency Model. 1 - Zuo Wang, Du Chigan, Xiaolong Ma, Guotu Liu, Jianbei Liu, Chaojie Zhao:
Car-Following Behavior of Coach Bus Based on Naturalistic Driving Experiments in Urban Roads. 1-4 - Tatsuya Yamazaki:
Analysis of Traffic Accident Occurrence in Niigata Prefecture of Japan using Open Data. 1-4 - Bai Song Samuel Lee, Hang Liu, Kiat Seng Yeo:
An Inductorless 6-GHz Variable Gain Differential Transimpedance Amplifier in 0.18-μm SiGe BiCMOS. 1-5 - Hongtao Zhong, Daohuai Jiang, Tingyang Duan, Hengrong Lan, Jiayao Zhang, Fei Gao:
Fingertip Laser Diode System Enables Both Time-Domain and Frequency-Domain Photoacoustic Imaging. 1-4 - Xinxin Huo, Wenlong Bai, Hing-Mo Lam, Congwei Liao, Min Zhang, Shengdong Zhang, Hailong Jiao:
A Compact Low-Voltage Segmented D/A Converter with Adjustable Gamma Coefficient for AMOLED Displays. 1-5 - Ameya D. Patil, Haocheng Hua, Sujan K. Gonugondla, Mingu Kang, Naresh R. Shanbhag:
An MRAM-Based Deep In-Memory Architecture for Deep Neural Networks. 1-5 - Andrea De Marcellis, Guido Di Patrizio Stanchieri, Marco Faccio, Elia Palange, Paolo Motto Ros, Maurizio Martina, Danilo Demarchi, Chiara Bartolozzi:
Live Demonstration: Event-Driven Serial Communication on Optical Fiber. 1 - Kengo Nakata, Asuka Maki, Daisuke Miyashita, Fumihiko Tachibana, Tomoya Suzuki, Jun Deguchi:
Live Demonstration: FPGA-Based CNN Accelerator with Filter-Wise-Optimized Bit Precision. 1 - Garen Hovakimyan, Pirooz Hojabri, Gregory A. Martin, Patrick Satarzadeh:
Digital Correction of Time Interleaved DAC Mismatches. 1-5 - Shota Ogawa, Hiroyuki Mori:
Application of Evolutionary Deep Neural Netwok to Photovoltaic Generation Forecasting. 1-4 - Tianyu Zhan, Sam Guraya, Hossein Kassiri:
A Resource-Optimized VLSI Architecture for Patient-Specific Seizure Detection using Frontal-Lobe EEG. 1-5 - Chang Gao, Stefan Braun, Ilya Kiselev, Jithendar Anumula, Tobi Delbrück, Shih-Chii Liu:
Live Demonstration: Real-Time Spoken Digit Recognition using the DeltaRNN Accelerator. 1 - Yuichiro Tanaka, Hakaru Tamukoh:
Live Demonstration: Hardware Implementation of Brain-Inspired Amygdala Model. 1 - Anuar Dorzhigulov, Alex Pappachen James:
Generalized Bell-Shaped Membership Function Generation Circuit for Memristive Neural Networks. 1-5 - Yu Wu, Dai Jiang, Andy Bardill, Serena De Gelidi, Richard H. Bayford, Andreas Demosthenous:
Live Demonstration: A Wearable Torso Shape Detection Belt for Lung Respiration Monitoring. 1 - Yosuke Sugiura, Tetsuya Shimamura:
Live Demonstration of Reconstruction Filtering for Bone-Conducted Speech in High Noise. 1 - Tomohiro Maruoka, Yukio Miyasaka, Akihiro Goda, Amir Masoud Gharehbaghi, Masahiro Fujita:
Live Demonstration: Automatic Synthesis of Algorithms on Multi Chip/FPGA with Communication Constraints. 1 - Xiaolu Lucia Li, Chi K. Tse:
Derivation of Complete Family of Multi-Output Configurations Including Voltage-Source-Mode and Current-Source-Mode Converters. 1-5 - Siming Zuo, Hua Fan, Kianoush Nazarpour, Hadi Heidari:
A CMOS Analog Front-End for Tunnelling Magnetoresistive Spintronic Sensing Systems. 1-5 - Mian-Jhong Chiu, Guo-Zhen Wang, Jen-Hui Chuang:
Fast Imaging in the Dark by using Convolutional Network. 1-5 - Hiroyasu Obata, Chisa Takano, Kenji Ishida:
Modeling of Transmission Rate Based on Experimental Evaluation on Multi-Rate Wireless LAN for Safe and Secure Life. 1-5 - Masatoshi Yamaguchi, Gouki Iwamoto, Yushi Abe, Yuichiro Tanaka, Yutaro Ishida, Hakaru Tamukoh, Takashi Morie:
Live Demonstration: A VLSI Implementation of Time-Domain Analog Weighted-Sum Calculation Model for Intelligent Processing on Robots. 1 - Ting Wu, Theodore S. Rappaport, Michael E. Knox, Davood Shahrjerdi:
A Wideband Sliding Correlator-Based Channel Sounder with Synchronization in 65 nm CMOS. 1-5 - Yihao Chen, Hanli Wang, Qinyu Li, Deshuang Huang:
Data Driven Regularization for Convolutional Neural Networks on Image Classification. 1-5 - Takeyoshi Kato, Muneaki Kurimoto, Masaki Imanaka, Shigeyuki Sugimoto:
Forecasting of Ramp-Up of Spatial Average Irradiance by Formulation of Cloudy to Fine State Transition. 1-5 - Wenye Liu, Chip-Hong Chang:
Analysis of Circuit Aging on Accuracy Degradation of Deep Neural Network Accelerator. 1-5 - Yasuhiro Mochida, Takayuki Nakachi, Takahiro Yamaguchi, Takayuki Onishi, Ken Nakamura:
An MMT Module for 4K/120fps Temporally Scalable Video. 1-5 - Tao He, Guoxing Wang, Khalil Yousef, Jing Jin:
A High Conversion Gain Wideband Mixer Design for UWB Applications. 1-4 - Nan Wu, Adrien F. Vincent, Dmitri B. Strukov:
Preliminary Results Towards Reinforcement Learning with Mixed-Signal Memristive Neuromorphic Circuits. 1-5 - Pradeep Kumar Gopalakrishnan, Bapi Kar, Sumon Kumar Bose, Mohendra Roy, Arindam Basu:
Live Demonstration: Autoencoder-Based Predictive Maintenance for IoT. 1 - Rei Ueno, Naofumi Homma, Tomonori Iida, Kazuhiko Minematsu:
High Throughput/Gate FN-Based Hardware Architectures for AES-OTR. 1-4 - Chengqing Li, Jinhu Lu, Guanrong Chen:
Network Analysis of Chaotic Dynamics in Fixed-Precision Digital Domain. 1-5 - Ricardo Tapiador-Morales, Juan Pedro Dominguez-Morales, Daniel Gutierrez-Galan, Antonio Rios-Navarro, Angel Jiménez-Fernandez, Alejandro Linares-Barranco:
Live Demonstration: Neuromorphic Row-by-Row Multi-Convolution FPGA Processor-SpiNNaker Architecture for Dynamic-Vision Feature Extraction. 1 - Jue Wang, Zhiwei Li, Lan Yao, Song Chen, Feng Wu:
Low-Resource Hardware Architecture for Semi-Global Stereo Matching. 1-4 - Yuxuan Tang, Qingjun Fan, Yulang Feng, Hao Deng, Runxi Zhang, Jinghong Chen:
A Low-Power SiPM Readout Front-End with Fast Pulse Generation and Successive-Approximation Register ADC in 0.18 μm CMOS. 1-4 - Beatriz Blanco-Filgueira, Daniel García-Lesta, Mauro Fernández-Sanjurjo, Víctor M. Brea, Paula López:
Live Demonstration: Deep Learning-Based Visual Tracking of Multiple Objects on a Low-Power Embedded System. 1 - Tae-Ho Lee, Hyuk-Jae Lee:
"Live Demonstration" Ambidextrous Virtual Keyboard Design with Finger Gesture Recognition. 1 - Shu Wang, Xuan Zhao, Qiang Yu, Shuo Zhang, Peilong Shi, Man Yu:
Research on Strategy of the Stability Control System of Dual-Motor Drive Electric Vehicle. 1-5 - Wanmai Yuan, Nuwan Ganganath, Chi-Tsun Cheng, Shahrokh Valaee, Qing Guo, Francis C. M. Lau, Herbert H. C. Iu:
Semi-Flocking-Controlled Mobile Sensor Networks for Tracking Targets with Different Priorities. 1-5 - Sami Ur Rehman, Mahdi M. Khafaji, Vincent Rieß, Ali Ferchichi, Florian Protze, Corrado Carta, Frank Ellinger:
A 20 Gb/s 3.8 pJ/bit 1: 4 Demux in 45-nm CMOS. 1-4 - Aminah Hina, Hamza Nadeem, Abdul Rehman Aslam, Wala Saadeh:
Live Demonstration: A Single LED PPG-Based Noninvasive Glucose Monitoring Prototype System. 1 - Stefan Karolcík, Nicholas Miscourides, Pantelis Georgiou:
Live Demonstration: A Portable High-Speed Ion-Imaging Platform using a Raspberry Pi. 1 - Dongseok Im, Donghyeon Han, Sungpill Choi, Sanghoon Kang, Hoi-Jun Yoo:
DT-CNN: Dilated and Transposed Convolution Neural Network Accelerator for Real-Time Image Segmentation on Mobile Devices. 1-5 - Yu-Jin Lin, Chen-Wei Chuang, Chun-Yueh Yen, Sheng-Hsin Huang, Ju-Yi Chen, Shuenn-Yuh Lee:
Live Demonstration: An Intelligent Stethoscope with ECG and Heart Sound Synchronous Display. 1 - Olga Krestinskaya, Aidana Irmanova, Alex Pappachen James:
Memristive Non-Idealities: Is there any Practical Implications for Designing Neural Network Chips? 1-5 - Takao Marukame, Toshimitsu Kitamura, Junichi Sugino, Kazuo Ishikawa, Koji Takahashi, Yutaka Tamura, Yoshifumi Nishi:
Live Demonstration: Low-Power Static Neural Network Circuits for Long-Term Change Detection. 1 - Patrick Valet, Dario Giotta, Stefan Trampitsch, Andrea M. Tonello:
Switched State-Space Model for High Speed Current-Steering Digital-to-Analog Converter. 1-5 - Corey Lammie, Mostafa Rahimi Azghadi:
Stochastic Computing for Low-Power and High-Speed Deep Learning on FPGA. 1-5 - Carles Araguz, Marc Closa, Elisenda Bou-Balust, Eduard Alarcón:
A Design-Oriented Characterization Framework for Decentralized, Distributed, Autonomous Systems: The Nano-Satellite Swarm Case. 1-5 - Sangwoo Kim, Gunhee Han:
An Adaptive Shuttering Scheme for Speed-Artifact-Free Swipe Fingerprint Sensor. 1-4 - Tom Huang, Luxsumi Jeevananthan, Stanley Ituah, Guoyan Chen, Mohammad-Reza Nezhad-Ahmadi, Safieddin Safavi-Naeini:
A Miniaturized 0.13-μm BiCMOS Reflective-Type Phase Shifter for K-Band Phased Arrarys (Invited). 1-5 - Aimin Jiang, Beilu Ni, Jiaan Wan, Hon Keung Kwan:
Stable ARMA Graph Filter Design via Partial Second-Order Factorization. 1-5 - Guhyun Kim, Cheol Seong Hwang, Doo Seok Jeong:
Stochastic Learning with Back Propagation. 1-5 - Chaoyi Chen, Jian Pan, Xueyang Chang, Qing Xu, Jianqiang Wang:
System Design and Function Verification of an Extensible Autonomous Driving Platform. 1-5 - Genwei Tang, Ming-e Jing, Xiaoyang Zeng, Yibo Fan:
A 32-Pixel IDCT-Adapted HEVC Intra Prediction VLSI Architecture. 1-5 - Takahiro Abe, Shota Iwasawa, Tomoya Murakami, Kazuaki Ito, Tomonori Usui:
Safety Measures Against Snow and Ice for Safe and Secure Expressways. 1-5 - Ankesh Jain, Ahmed Abdelaal, Maurits Ortmanns:
Effective Filtering of Requantization Error in Dual Quantized CTDSM using FIR DAC. 1-5 - Marcel Moscarelli Corrêa, Bianca Waskow, Bruno Zatt, Daniel Palomino, Guilherme Corrêa, Luciano Agostini:
High Throughput Hardware Design for AV1 Paeth and Smooth Intra Modes. 1-5 - Pham Anh Chuong Tran, Tadashi Tsubone:
An Approach to Bridging the Gap Between Discrete-Time and Continuous-Time Coupled Systems. 1-4 - Akari Ishikawa, Norishige Fukushima, Akira Maruoka, Takuro Iizuka:
Halide and GENESIS for Generating Domain-Specific Architecture of Guided Image Filtering. 1-5 - Zongyao Li, Ren Togo, Takahiro Ogawa, Miki Haseyama:
Semi-Supervised Learning Based on Tri-Training for Gastritis Classification using Gastric X-ray Images. 1-5 - Arun Goyal, Souvik Ghosh, Sandeep Goyal, Pallavi Paliwal, Shalabh Gupta:
A High-Resolution Digital Phase Interpolator Based CDR with a Half-Rate Hybrid Phase Detector. 1-5 - Pei Wang, Xin Jin, Qionghai Dai:
Live Demonstration: 4-DoF Parallax Tolerant Light Field Stitching. 1 - Nusrat Jahan, Chen Baichuan, Adel Barakat, Ramesh K. Pokharel:
Analysis and Application of Dual Series Resonances for Low Phase Noise K-Band VCO Design in 0.18-μm CMOS Technology. 1-5 - Wu-Sheng Lu, Takao Hinamoto:
Robust Digital Filters Part 1 - Minimax FIR Filters. 1-5 - Dongfang Pan, Zongming Duan, Bowen Wu, Yan Wang, Dong Huang, Yan Wang, Ping Gui, Liguo Sun:
A Digitally Controlled CMOS Receiver with -14 dBm P1dB for 77 GHz Automotive Radar. 1-4 - Xinmiao Zhang, Phillip Shvartsman, Jingbo Zhou, Eslam Yahya Tawfik:
Hardware Obfuscation of AES through Finite Field Construction Variation. 1-5 - Zekun Zhou, Hongming Yu, Junlin Qian, Yue Shi, Bo Zhang:
A Resistorless Low-Power Voltage Reference with Novel Curvature-Compensation Technique. 1-5 - Takayuki Onishi, Yuya Omori, Ken Nakamura, Hiroe Iwasaki, Atsushi Shimizu:
A Low Power Motion Estimation Engine with Adaptive Bit-Shifted SAD Calculation. 1-5 - Shang-Ho Lawrence Tsai, Min-Shan Tsai, Hsin-Chi Huang, Dean-Chang Ash Ling:
Predicting Defibrillation Outcome in Ventricular Fibrillation using ECG with Neural Network Algorithm. 1-5 - Nanda K. Unnikrishnan, Mario Garrido, Keshab K. Parhi:
Effect of Finite Word-Length on SQNR, Area and Power for Real-Valued Serial FFT. 1-5 - Chang Gao, Stefan Braun, Ilya Kiselev, Jithendar Anumula, Tobi Delbrück, Shih-Chii Liu:
Real-Time Speech Recognition for IoT Purpose using a Delta Recurrent Neural Network Accelerator. 1-5 - Chung-Ming Yu, Ming-Ke Tsai, Heng-Yu Chiu, Chia-Ling Wei:
Single-Battery-Powered CMOS/MEMS Respiration Detection Monolithic Chip. 1-4 - Shuang Xie, Albert Theuwissen:
A CMOS Image Sensor with Improved Readout Speed using Column SAR ADC with Digital Error Correction. 1-5 - Ahmed Reda Mohamed, Mingyi Chen, Guoxing Wang:
Untrimmed CMOS Nano-Ampere Current Reference with Curvature-Compensation Scheme. 1-4 - Joan Santamaria, Nestor Cuevas, Luis E. Rueda G., Javier Ardila, Elkim Roa:
A Family of Compact Trim-Free CMOS Nano-Ampere Current References. 1-4 - Kazuki Matsuyama, Toru Tanzawa:
Design of Pre-Emphasis Pulses for Large Memory Arrays with Minimal Word-Line Delay Time. 1-5 - Jiyong Woo, Shimeng Yu:
Design Space Exploration of Ovonic Threshold Switch (OTS) for Sub-Threshold Read Operation in Cross-Point Memory Arrays. 1-5 - Jyun-Neng Ji, Ming-Der Shieh:
Efficient Comparison and Swap on Fully Homomorphic Encrypted Data. 1-4 - Jiafeng Xie, Chiou-Yng Lee, Pramod Kumar Meher:
Low-Complexity Systolic Multiplier for GF(2m) using Toeplitz Matrix-Vector Product Method. 1-5 - Zhan Su, Hechen Wang, Haoyi Zhao, Xiao Liu, Fa Foster Dai:
An 8-bit 80-MS/s Fully Self-Timed SAR ADC with 3/2 Interleaved Comparators and High-Order PVT Stabilized HBT Bandgap Reference. 1-4 - Kathrin Kalischewski, Daniel Wagner, Jörg Velten, Anton Kummert:
Spoken Letter Recognition using Deep Convolutional Neural Networks on Sparse and Dissimilar Data. 1-5 - Shigetaka Takagi, Hiroki Sato:
Design of Active Inductors for Minimizing Output Noise of LC-Simulation Type Filters. 1-4 - Vidyasagar Sadhu, Sanjana Devaraj, Dario Pompili:
Towards Ultra-Low-Power Realization of Analog Joint Source-Channel Coding using MOSFETs. 1-5 - Chia-Ching Wang, Hsin-Hua Liu, Soo-Chang Pei, Kuan-Hsien Liu, Tsung-Jung Liu:
Face Aging on Realistic Photos by Generative Adversarial Networks. 1-5 - Giovanni Ludovico Montagnani, Luca Buonanno, Davide Di Vita, Carlo Fiorini, Marco Carminati:
A Compact 4-Decade Dynamic Range Readout Module for Gamma Spectroscopy and Imaging. 1-5 - Nattapong Hatchavanich, Anawach Sangswang, Mongkol Konghirun:
Operational Region of Novel Multi-Coil Series-Series Compensation inWireless Power Transfer System for Electric Vehicle Applications. 1-5 - Temitope Odedeyi, Clive Poole, Izzat Darwazeh:
Noise Analysis of Multiplicative Distributed Amplifiers. 1-5 - Young Ho Kim, Gi Jo An, Myung Hoon Sunwoo:
CASA: A Convolution Accelerator using Skip Algorithm for Deep Neural Network. 1-5 - Sai Kiran Cherupally, Gaurav Srivastava, Shihui Yin, Deepak Kadetotad, Chisung Bae, Sang Joon Kim, Jae-sun Seo:
ECG Authentication Neural Network Hardware Design with Collective Optimization of Low Precision and Structured Compression. 1-5 - Xinyu Wu, Lianggong Wen, Yuanqi Hu:
Analysis of On-Chip Metal Micro-Electrode for CMOS ISFETs. 1-4 - Wei Xing Zheng, Jin-Liang Shao, Lei Shi:
Asynchronous Containment Control of High-Order Multi-Agent Systems with Switching Topologies. 1-5 - Michael Kalcher, Daniel Gruber:
Self-Aligned Open-Loop Multiphase Generator. 1-5 - Takao Hinamoto, Akimitsu Doi, Wu-Sheng Lu:
L2-Sensitivity Analysis and Minimization for Generalized Direct-Form II Realization of 2-D Separable-Denominator Digital Filters. 1-5 - Vijender Kumar Sharma, B. Dinesh Kumar, Muhammed Suhail Illikkal, Jai Narayan Tripathi, Navneet Gupta, Hitesh Shrimali:
Analysis of Timing Error Due to Supply and Substrate Noise in an Inverter Based High-Speed Comparator. 1-5 - Tong Ma, Changpeng Shen, Ying Wei:
Adjustable Filter Bank Design for Hearing Aids System. 1-5 - Nagham Samir, Youssef Gamal, Ahmed N. El-Zeiny, Omar Mahmoud, Ahmed Shawky, AbdelRahman Saeed, Hassan Mostafa:
Energy-Adaptive Lightweight Hardware Security Module using Partial Dynamic Reconfiguration for Energy Limited Internet of Things Applications. 1-4 - Haoyun Jiang, Zherui Zhang, Zhengkun Shen, Xiucheng Hao, Zexue Liu, Heyi Li, Yi Tan, Qiang Zhou, Junhua Liu, Huailin Liao:
A Calibration-Free Fractional-N ADPLL using Retiming Architecture and a 9-bit 0.3ps-INL Phase Interpolator. 1-5 - Baptiste Laporte-Fauret, Guillaume Ferré, Dominique Dallet, Bryce Minger, Loïc Fuché:
ADC Required Resolution for Correct Demodulation of an Intra-Quantum Signal. 1-5 - Jia-Jyun Lee, Jiang-Yue Wu, Mu-Wei Lee, Ke-Horng Chen, Ying-Hsi Lin, Shian-Ru Lin, Tsung-Yen Tsai:
An Active Resonant Circuit with the Dynamic Resonant Period Control Technique for Fast Zero Voltage Switching in GaN-Based Active Clamp Flyback Converters. 1-4 - Xiaojiao Ren, Ming Zhang, Nicolas Llaser, Y. Wang:
CMOS Implementation of Wide Frequency Bandwidth Resonator's Q-Factor Measurement Circuit. 1-5 - Xiaoxiao Xu, Jianping Hu, Shengwei Ke:
Negative Capacitance Dual-Threshold Independent Gate FinFETs. 1-5 - Shuang Xie, Xiaoliang Ge, Albert Theuwissen:
Temperature Sensors Incorporated into a CMOS Image Sensor with Column Zoom ADCs. 1-5 - Ruochong Zhang, Kai Tang, Chuanshi Yang, Haoran Jin, Siyu Liu, Yuanjin Zheng:
Portable Photoacoustic Sensor for Noninvasive Glucose Monitoring. 1-4 - Sunil Kumar Koduri, Kishore Kumar Tappeta:
Speech Bandwidth Extension Aided by Hybrid Model Transform Domain Data Hiding. 1-5 - Jhankar Malakar, Devraj M. Rajagopal, Srikanth Srinivasan, Gayatri Hegde:
Noise Immune, Low-Skew, Pulse Width Retainable Analog Glitch-Filter. 1-4 - Wissam Benjilali, William Guicquero, Laurent Jacques, Gilles Sicard:
An Analog-to-Information VGA Image Sensor Architecture for Support Vector Machine on Compressive Measurements. 1-5 - José C. García, Juan A. Montiel-Nelson, Saeid Nooshabadi:
High Performance CMOS Level up Conversion for Systems with Low-Voltage Power Supply. 1-5 - Alfredo Arnaud, Rafael Puyol, Denisse Hardy, Matías R. Miguez, Joel Gak:
Bulk Linearization Techniques. 1-5 - Xianglong Zeng, Haiquan Chen, Yuan Luo, Wenbin Ye:
Automated Detection of Diabetic Retinopathy using a Binocular Siamese-Like Convolutional Network. 1-5 - Jingbo Liu, Jingyang Yuan, Jin Sha:
Symbol-Based Algorithms for Decoding Binary LDPC Codes with Higher-Order Modulations. 1-5 - Mohammadhadi Danesh, Akshay Jayaraj, Sanjeev Tannirkulam Chandrasekaran, Arindam Sanyal:
Ultra-Low Power Analog Multiplier Based on Translinear Principle. 1-5 - Xuan-Thuan Nguyen, Trong-Thuc Hoang, Katsumi Inoue, Ngoc-Tu Bui, Van-Phuc Hoang, Cong-Kha Pham:
A 1.2-V 90-MHz Bitmap Index Creation Accelerator with 0.27-nW Standby Power on 65-nm Silicon-On-Thin-Box (SOTB) CMOS. 1-4 - Olexa Bilaniuk, Sean Wagner, Yvon Savaria, Jean-Pierre David:
Bit-Slicing FPGA Accelerator for Quantized Neural Networks. 1-5 - Shimpei Sato, Eijiro Sassa, Yuta Ukon, Atsushi Takahashi:
A Low Area Overhead Design for High-Performance General-Synchronous Circuits with Speculative Execution. 1-5 - Ruihan Gao, Jiawei Peng, Long D. Nguyen, Yunfeng Liang, Steven Tien Guan Thng, Zhiping Lin:
Classification of Non-Tumorous Facial Pigmentation Disorders using Deep Learning and SMOTE. 1-5 - M. Sultan M. Siddiqui, Sudhir Kumar Sharma, Saurabh Porwal, Khatik Bhagvan Pannalal, Sudhir Kumar:
A 10T SRAM Cell with Enhanced Read Sensing Margin and Weak NMOS Keeper for Large Signal Sensing to Improve VDDMIN. 1-5 - Libin K. Mathew, A. Prasad Vinod, A. S. Madhukumar:
A Cyclic Prefix Assisted Spectrum Sensing Method for Aeronautical Communication Systems. 1-5 - Kenneth Martin:
Complex Cascade Digital Filters. 1-4 - Misaki Kanai, Ren Togo, Takahiro Ogawa, Miki Haseyama:
Synthetic Image Generation for Gastritis Detection Based on Auxiliary Classifier Generative Adversarial Network. 1-5 - Byoung-Hak Kim, Eui-Cheol Lim, Chae-Eun Rhee:
Exploration of a PIM Design Configuration for Energy-Efficient Task Offloading. 1-4 - Khilda Afifah, Muhammad Arijal, Nicodimus Retdian, Takeshi Shima:
Experiment of 3-Phase N-Path Filter for Hum Noise Suppression. 1-4 - Mohammed E. Fouda, Ahmed AboBakr, Ahmed S. Elwakil, Ahmed G. Radwan, Ahmed M. Eltawil:
Simple MOS Transistor-Based Realization of Fractional-Order Capacitors. 1-4 - Ankur A Sharma, Xinmiao Zhang, Yingjie Lao:
Hardware Obfuscation Through Reconfiguration Finite Field Arithmetic Units. 1-5 - Hesam Sadeghi Gougheri, Yufei Jia, Joe Chen, Iam-Choon Khoo, Noel Giebink, Mehdi Kiani:
Towards Wireless Addressable Optoelectronic Implants for Large-Scale Optogenetics. 1-4 - Khoa LeTrung, Fakhreddine Ghaffari, David Declercq:
An Adaptation of Min-Sum Decoder for 5G Low-Density Parity-Check Codes. 1-5 - Ying Xu, Saeed Afshar, Ram Kuber Singh, Runchun Wang, André van Schaik, Tara Julia Hamilton:
A Binaural Sound Localization System using Deep Convolutional Neural Networks. 1-5 - Wei-Lun Tsai, Sau-Gee Chen, Shen-Jui Huang:
Reconfigurable Radix-2k×3 Feedforward FFT Architectures. 1-5 - Ajmal Vadakkan Kayyil, Pavan Kumar Ramakrishna, OnnLim Yong, David J. Allstot, Howard C. Yang:
A Two-Stage CMOS OTA with Load-Pole Cancellation. 1-5 - Chihiro Matsui, Ken Takeuchi:
Self-Determining Resource Control in Multi-Tenant Data Center Storage with Future NV Memories. 1-5 - Hao Zhang, Jiongrui He, Seok-Bum Ko:
Efficient Posit Multiply-Accumulate Unit Generator for Deep Learning Applications. 1-5 - Katsuya Nakabai, Yoko Uwate, Yoshifumi Nishio:
Synchronization in Ladder-Coupled Chaotic Circuits Including Ring Structures. 1-5 - Alireza Esmaeilzehi, M. Omair Ahmad, M. N. S. Swamy:
SRSubBandNet: A New Deep Learning Scheme for Single Image Super Resolution Based on Subband Reconstruction. 1-5 - Sanad Kawar, Shoba Krishnan, Khaldoon Abugharbieh:
An Input Power-Aware Efficiency Tracking Technique for Energy Harvesters in IoT. 1-4 - Mengdan Lou, Jieyu Li, Guoxing Wang, Guanghui He:
AR-C3D: Action Recognition Accelerator for Human-Computer Interaction on FPGA. 1-4 - Fulvio Ciciotti, Federico Fary, Marcello De Matteis, Andrea Baschirotto:
28nm Implementation Aspects of a 0.9V 75MHz 4th-Order Rauch Analog Filter. 1-5 - Anushree Mahapatra, Benjamin Carrión Schäfer:
Optimizing RTL to C Abstraction Methodologies to Improve HLS Design Space Exploration. 1-5 - Nishtha Wadhwa, Pydi Ganga Bahubalindruni, Sujay Deb, Pedro Barquinha:
Bootstrapping Circuit with IGZO TFTs for On-Chip Power Supply Generation. 1-5 - Andreas Bytyn, Rainer Leupers, Gerd Ascheid:
An Application-Specific VLIW Processor with Vector Instruction Set for CNN Acceleration. 1-5 - Cong Ding, Woogeun Rhee, Zhihua Wang:
A Gaussian-Filtered Fully-Balanced FSK Modulator with Integer-N PLL Based 1+-Point Modulation. 1-4 - Longheng Luo, Yimin Wu, Jipeng Wei, Fan Ye, Junyan Ren:
A Capacitively-Degenerated High-Linearity Dynamic Amplifier using a Real-Time Gain Detection Technique. 1-4 - Islam Gamal, Abdulrahman Badawy, Awab M. W. Al-Habal, Mohammed E. K. Adawy, Keroles K. Khalil, Magdy A. El-Moursy, Ahmed K. F. Khattab:
A Robust, Real-Time and Calibration-Free Lane Departure Warning System. 1-4 - Ion Vornicu, Angela A. Darie, Ricardo Carmona-Galán, Ángel Rodríguez-Vázquez:
ToF Estimation Based on Compressed Real-Time Histogram Builder for SPAD Image Sensors. 1-4 - Saman S. Abeysekera:
Least-Squares Based Direction-of-Arrival Estimation using Sparse Circular Arrays. 1-5 - Suganthi Venkatachalam, Elizabeth Adams, Seok-Bum Ko:
Design of Approximate Restoring Dividers. 1-5 - Daniel Villamizar, Daniele Battaglino, Dante G. Muratore, Reza Hoshyar, Boris Murmann:
Sound Classification using Summary Statistics and N-Path Filtering. 1-5 - Orazio Aiello, Paolo Crovetti, Massimo Alioto:
Wake-Up Oscillators with pW Power Consumption in Dynamic Leakage Suppression Logic. 1-5 - Waqar Ahmed Qureshi, Edoardo Bonizzoni, Franco Maloberti:
A 5-Bit 10-GS/sec Flash ADC with Resolution Enhancement using Metastability Detection. 1-5 - Hao Zhang, Xiaojing Huang, Jian A. Zhang, Y. Jay Guo, RuiLiang Song, Chun-Ting Wang, Wei Wu, XiaoFan Xu, Zhou Lu:
A High-Speed Low-Cost Millimeter Wave System with Dual Pulse Shaping Transmission and Symbol Rate Equalization Techniques. 1-4 - Junyuan Fang, Xi Zhang, Jiajing Wu, Zibin Zheng:
Robustness Analysis of Power Grids Against Cascading Failures Based on a Multi-Objective Algorithm. 1-5 - Lech Kolonko, Jörg Velten, Anton Kummert:
A Raspberry Pi Based Video Pipeline for 2-D Wave Digital Filters on Low-Cost FPGA Hardware. 1-5 - Mohamed B. Elamien, Brent J. Maundy, Leonid Belostotski, Ahmed S. Elwakil, Soliman A. Mahmoud:
A Wideband Delay-Tunable Fully Differential Allpass Filter in 65-nm CMOS Technology. 1-5 - Jiubai Zhang, Xiaoqing Wu, Xilin Yi, Jiaxun Lv, Yajuan He:
A Subthreshold 10T SRAM Cell with Enhanced Read and Write Operations. 1-4 - Kyeongho Lee, Geon Ko, Jongsun Park:
Low Cost Ternary Content Addressable Memory Based on Early Termination Precharge Scheme. 1-4 - Zhongting Shen, Renjie Ding:
A Novel Neural Network Approach for Power System Low Frequency Oscillation Mode Identification. 1-5 - Hikaru Kobayashi, Tadashi Tsubone:
Analysis of Coexistence Phenomena in Piecewise-Constant Oscillators Coupled by Hysteresis Elements. 1-5 - Huachao Xu, Jinlong Hu, Yao Chen, Guofeng Li, Chao Lu:
Pico-Ampere Voltage References for IoT Systems. 1-5 - Meriam Gay Bautista, He Zhu, Xi Zhu, Yang Yang, Yichuang Sun, Eryk Dutkiewicz, Fan Zhang:
Millimeter-Wave BPFs Design using Quasi-Lumped Elements in 0.13-μm (Bi)-CMOS Technology. 1-5 - Fengchao Pan, Haibo Gu, Jinhu Lü, Maciej J. Ogorzalek:
Synchronization Via PID Control on Complex Directed Network with Delayed Nodes. 1-4 - Po-Sheng Huang, Chin-Han Shen, Hsu-Feng Hsiao:
Saliency Detection with Multi-Contextual Models and Spatially Coherent Loss Function. 1-5 - Masayuki Odagawa, Takumi Okamoto, Tetsushi Koide, Toru Tamaki, Bisser Raytchev, Kazufumi Kaneda, Shigeto Yoshida, Hiroshi Mieno, Shinji Tanaka, Takayuki Sugawara, Hiroshi Toishi, Masayuki Tsuji, Nobuo Tamba:
A Hardware Implementation of Colorectal Tumor Classification for Endoscopic Video on Customizable DSP Toward Real-Time Computer-Aided Diagnosis System. 1-5 - Marwan A. Jaber, Daniel Massicotte, Radwan A. Jaber, Kevin Nesmith:
An Efficient Data Parallelization of the Radix-23 (Carbon) FFT on GPU/CPU. 1-5 - Edward Yang, Torsten Lehmann:
High Gain Operational Amplifiers in 22 nm CMOS. 1-5 - Ghouthi Boukli Hacene, François Leduc-Primeau, Amal Ben Soussia, Vincent Gripon, François Gagnon:
Training Modern Deep Neural Networks for Memory-Fault Robustness. 1-5 - Qingjun Fan, Jinghong Chen:
A 500-MS/s 13-Bit SAR-Assisted Time-Interleaved Digital-Slope ADC. 1-5 - Zhe-Ming Guo, Shih-Ming Huang, Tsung-Heng Tsai:
A Current-Mode Control Li-Ion Battery Charger with Trickle-Current Mode and Built-In Aging Detection. 1-4 - Lijuan Xia, Ahmed Soltan, Xuzhi Zhang, Andrew Jackson, Russell Tessier, Patrick Degenaar:
Closed-Loop Proportion-Derivative Control of Suppressing Seizures in a Neural Mass Model. 1-5 - Jinghao Ye, Nozomu Togawa, Masao Yanagisawa, Youhua Shi:
Static Error Analysis and Optimization of Faithfully Truncated Adders for Area-Power Efficient FIR Designs. 1-4 - Dante G. Muratore, Pulkit Tandon, Mary Wootters, E. J. Chichilnisky, Subhasish Mitra, Boris Murmann:
A Data-Compressive Wired-OR Readout for Massively Parallel Neural Recording. 1-5 - Jovan Markovic, Damir Hamidovic, Christian Mayer, Jan Zaleski, Mario Huemer, Andreas Springer:
An IQ Image Cancellation Method for Digital-Intensive Transmitters. 1-5 - Steven Wandale, Thomas Basikolo, Koichi Ichige:
Super Nested Sparse Circular Array for High Resolution DOA Estimation. 1-5 - Rodrigue Rizk, Dominick Rizk, Ashok Kumar, Magdy A. Bayoumi:
Demystifying Emerging Nonvolatile Memory Technologies: Understanding Advantages, Challenges, Trends, and Novel Applications. 1-5 - Hyungjoo Cho, Ji-Hoon Suh, Hongseok Shin, Yeseul Jeon, Chongsoo Jung, Minkyu Je:
An Area-Efficient Rectifier with Threshold Voltage Cancellation for Intra-Body Power Transfer. 1-5 - Hao Qiu, Takayasu Sakurai, Makoto Takamiya:
Coupling-Dependent Data Flipping in Wireless Power and Data Transfer System. 1-5 - Ester Vicario Bravo, Andrea Bonetti, Andreas Burg:
Data-Retention-Time Characterization of Gain-Cell eDRAMs Across the Design and Variations Space. 1-5 - Ping-Hsuan Hsieh, Ming-Li Tang, Sheng-Yen Hsu, Meng-Hung Lin, Yi-Hsiu Chen:
Design and Implementation of a Memristor-Based Oscillator. 1-4 - Georgia Pierrou, Xiaozhe Wang:
Investigating the Impacts of Stochastic Load Fluctuation on Dynamic Voltage Stability Margin using Bifurcation Theory. 1-5 - Jyotindra R. Shakya, Ajmal K. Vadakkan, Gabor C. Temes:
Predictive Noise Shaping SAR ADC. 1-4 - Pedram Payandehnia, Hamidreza Maghami, Hossein Mirzaie, Matthew L. Johnston, Gabor C. Temes:
An Amplifier-Free 0-2 SAR-VCO MASH ΔΣ ADC. 1-5 - Samprajani Rout, Mauro Mangia, Fabio Pareschi, Gianluca Setti, Riccardo Rovatti, Wouter A. Serdijn:
Rakeness-Based Compressed Sensing of Atrial Electrograms for the Diagnosis of Atrial Fibrillation. 1-5 - Qihui Zhang, Jing Li, Zhong Zhang, Kejun Wu, Ning Ning, Qi Yu:
A Low-Power and Area-Efficient 14-bit SAR ADC with Hybrid CDAC for Array Sensors. 1-5 - Rajit Karmakar, Santanu Chattopadhyay, Mrityunjoy Chakraborty:
Improving Security of Logic Encryption in Presence of Design-for-Testability Infrastructure. 1-5 - Yachen Xiang, Peng Huang, Zheng Zhou, Runze Han, Yuning Jiang, Q. M. Shu, Zhiqiang Su, Yongbo Liu, Xiaoyan Liu, Jinfeng Kang:
Analog Deep Neural Network Based on NOR Flash Computing Array for High Speed/Energy Efficiency Computation. 1-4 - Jipeng Wei, Yuting Yao, Longheng Luo, Shunli Ma, Fan Ye, Junyan Ren:
A Novel Nauta Transconductor for Ultra-Wideband gm-C Filter with Temperature Calibration. 1-4 - Alireza Dabbaghian, Tayebeh Yousefi, Pooria Shafia, Syyeda Zainab Fatmi, Hossein Kassiri:
A 9.2-Gram Fully-Flexible Wireless Dry-Electrode Headband for Non-Contact Artifact-Resilient EEG Monitoring and Programmable Diagnostics. 1-5 - Pedram Mohammadi, Mahsa T. Pourazad, Panos Nasiopoulos:
A High Contrast Video Inverse Tone Mapping Operator for High Dynamic Range Applications. 1-5 - Lih-Yih Chiou, Chao-Kai Yang, Che-Pin Chang:
A Data-Traffic Aware Dynamic Power Management for General-Purpose Graphics Processing Units. 1-5 - Stefan Trampitsch, Michael Kalcher, Daniel Gruber, Michael Lunglmayr, Mario Huemer:
Modeling Non-Idealities of Capacitive RF-DACs with a Switched State-Space Model. 1-5 - Farad Khoyratee, Stephany Mai Nishikawa, Zhongyue Luo, Soo Hyeon Kim, Sylvain Saïghi, Teruo Fujii, Yoshiho Ikeuchi, Kazuyuki Aihara, Timothée Levi:
Biomimetic Spiking Neural Network (SNN) Systems for 'In Vitro' Cells Stimulation. 1-5 - Géza Kolumbán, István Tamás Krébesz:
Universal Portable SDE Platform for Teaching the Theory and Practice of ICT Systems. 1-5 - Junkai Zhan, Letian Huang, Junshi Wang, Masoumeh Ebrahimi, Qiang Li:
Online Path-Based Test Method for Network-on-Chip. 1-5 - Mauro Di Marco, Mauro Forti, Fernando Corinto, Marco Gilli:
State Equations of Memristor Circuits with Nonlinear Lossless Elements in the Flux-Charge Domain. 1-5 - Mingchen Wang, Yonggang Wang, Qiang Cao, Liwei Wang, Jie Kuang, Yong Xiao:
Comparison of Three Pre-Amplifier Circuits for Time Readout of SiPM in TOF-PET Detectors. 1-5 - Namalka Liyanage, Chamith Wijenayake, Chamira U. S. Edussooriya, Eliathamby Ambikairajah:
Reduced-Complexity Depth Filtering and Occlusion Suppression using Modulated-Sparse Light Fields. 1-5 - Torsten Lehmann:
Cryogenic Support Circuits and Systems for Silicon Quantum Computers. 1-5 - Ioannis Messaris, Alon Ascoli, G. S. Meinhardt, Ronald Tetzlaff, Leon O. Chua:
Mem-Computing CNNs with Bistable-Like Memristors. 1-5 - Andrea Gerosa, Andrea Bevilacqua, Giorgio Spiazzi:
A Multi-Phase Self-Reconfigurable Switched-Capacitor DC-DC Step-Up Converter Integrated in CMOS Technology. 1-5 - Saba Mohammadi, Masoomeh Jasemi, Seyed Mohammadjavad Seyed Talebi, Nader Bagherzadeh, Michael M. Green:
A Radiation Hard Sense Circuit for Spin Transfer Torque Random Access Memory. 1-5 - Sergi Abadal, Adrián Marruedo, Antonio Franques, Hamidreza Taghvaee, Albert Cabellos-Aparicio, Jin Zhou, Josep Torrellas, Eduard Alarcón:
Opportunistic Beamforming in Wireless Network-on-Chip. 1-5 - Federico Bizzarri, Matteo Lodi, Alberto Oliveri, Angelo Brambilla, Marco Storace:
A Nonlinear Inductance Model Able to Reproduce Thermal Transient in SMPS Simulations. 1-5 - Yun-Sheng Chan, Kuan-Yu Lung, Yun-Ming Wang, Chen-Yi Lee:
Joint Capacitive Sensing and Frequency Selection for Fast Medical Tests. 1-5 - Imed Ben Dhaou, Faisal Mahroogi, Hannu Tenhunen:
Implementation of a Fuel Estimation Algorithm on SoC FPGA. 1-5 - Chih-Shiun Jheng, Po-Han Fu, Jhan-Yi Yang, Wei-Chieh Hsu, Yuh-Shyan Hwang, Jiann-Jong Chen:
Design and Implementation of Fast Transient Response Buck Converter with New Current-Mode Controlled Techniques. 1-4 - Mahmood Uddin Mohammed, Masud H. Chowdhury:
Design of Energy Efficient SRAM Cell Based on Double Gate Schottky-Barrier-Type GNRFET with Minimum Dimer Lines. 1-4 - Wissam Benjilali, William Guicquero, Laurent Jacques, Gilles Sicard:
Exploring Hierarchical Machine Learning for Hardware-Limited Multi-Class Inference on Compressed Measurements. 1-5 - Joseph A. Schmitz, Jonathan M. Sherman, Sam Hansen, Samuel J. Murray, Sina Balkir, Michael W. Hoffman:
A Low-Power, Single-Chip Electronic Skin Interface for Prosthetic Applications. 1-5 - Md Shazzad Hossain, Ioannis Savidis:
Reusing Leakage Current for Improved Energy Efficiency of Multi-Voltage Systems. 1-5 - Akshay Jayaraj, Imon Banerjee, Arindam Sanyal:
Common-Source Amplifier Based Analog Artificial Neural Network Classifier. 1-5 - Chun-Ming Huang, Chih-Chyau Yang, Yi-Jie Hsieh, Chun-Wen Cheng, Yi-Jun Liu, Jia-Rong Chang, Yu-Tsang Chang, Chien-Ming Wu:
A Smart Sensor Development Platform and Its System Demonstration. 1-4 - Lucas M. V. Pereira, Douglas A. dos Santos, Cesar A. Zeferino, Douglas R. Melo:
A Low-Cost Hardware Accelerator for CCSDS 123 Predictor in FPGA. 1-5 - Zhen Chen, Jianxin Lin, Sen Liu, Zhibo Chen, Weiping Li, Jin Zhao, Wei Yan:
Exploiting Weight-Level Sparsity in Channel Pruning with Low-Rank Approximation. 1-5 - Yuichiro Tanaka, Hakaru Tamukoh:
Hardware Implementation of Brain-Inspired Amygdala Model. 1-5 - Hiromitsu Awano, Tomoki Iizuka, Makoto Ikeda:
PUFNet: A Deep Neural Network Based Modeling Attack for Physically Unclonable Function. 1-4 - Xuchang Huangfu, Yule Sun, Ruidi Zheng, Bin Wang, Lu Yu:
Parallel-to-Axis Uniform Cubemap Projection for Omnidirectional Video. 1-5 - Roman Sotner, Jan Jerabek, Serdar Özoguz, David Kubánek, Lukas Langhammer:
Electronically Controllable Audio Equalizers Based on Bilinear Immittances Utilizing CMOS Voltage Differencing Current Conveyor. 1-5 - Yuxiang Fu, Qinyu Chen, Guoqiang He, Kai Chen, Zhonghai Lu, Chuan Zhang, Li Li:
Congestion-Aware Dynamic Elevator Assignment for Partially Connected 3D-NoCs. 1-5 - Surin Gweon, Jaehyuk Lee, Kwantae Kim, Hoi-Jun Yoo:
93.8% Current Efficiency and 0.672 ns Transient Response Reconfigurable LDO for Wireless Sensor Network Systems. 1-5 - Angela Slavova, Zoya Zafirova, Ronald Tetzlaff:
Edge of Chaos in Nanoscale Memristor CNN. 1-4 - Joshua Trujillo, Christian Merino, Payman Zarkesh-Ha:
SRAM Physically Unclonable Functions Implemented on Silicon Germanium. 1-4 - Ivan Miketic, Emre Salman:
Power and Data Integrity in Monolithic 3D Integrated SIMON Core. 1-5 - Atsushi Matsuo, Wakaki Hattori, Shigeru Yamashita:
Reducing the Overhead of Mapping Quantum Circuits to IBM Q System. 1-5 - Abdel-Latif Alshalalfah, Ghaith Bany Hamad, Otmane Aït Mohamed:
Towards System Level Security Analysis of Artificial Pancreas Via UPPAAL-SMC. 1-5 - Yi Chen, Zheng Wang, Aakash Patil, Arindam Basu:
A Current Mirror Cross Bar Based 2.86-TOPS/W Machine Learner and PUF with <2.5% BER in 65nm CMOS for IoT Application. 1-4 - C. Degenhardt, A. Artanov, Lotte Geck, Christian Grewing, Andre Kruth, Dennis Nielinger, Patrick Vliex, Andre Zambanini, Stefan van Waasen:
Systems Engineering of Cryogenic CMOS Electronics for Scalable Quantum Computers. 1-5 - Daowen Li, Lu Yu:
An In-Loop Filter Based on Low-Complexity CNN using Residuals in Intra Video Coding. 1-5 - Hamzeh Aljarajreh, Dylan Dah-Chuan Lu, C. K. Tse:
Synthesis of Dual-Input Single-Output DC/DC Converters. 1-5 - Andreas Horneff, Benedikt Schlecker, Matthias Häberle, Erich Hell, Johannes Ulrici, Volker Rasche, Jens Anders:
A New CMOS Broadband, High Impedance LNA for MRI Achieving an Input Referred Voltage Noise Spectral Density of 200pV/Hz√. 1-5 - Hamidreza Sadreazami, Miodrag Bolic, Sreeraman Rajan:
Residual Network-Based Supervised Learning of Remotely Sensed Fall Incidents using Ultra-Wideband Radar. 1-4 - Xiaoxi Ma, Lap-Pui Chau, Kim-Hui Yap, Guiju Ping:
Convolutional Three-Stream Network Fusion for Driver Fatigue Detection from Infrared Videos. 1-5 - Sheng Chang, Xiong Zhou, Zhaoming Ding, Qiang Li:
A 12-bit 30MS/s SAR ADC with VCO-Based Comparator and Split-and-Recombination Redundancy for Bypass Logic. 1-5 - Yung-Te Chang, Min-Rui Wu, Chih-Cheng Hsieh:
A 40MS/s 12-bit Zero-Crossing Based SAR-Assisted Two-Stage Pipelined ADC with Adaptive Level Shifting. 1-4 - Aparna Shreedhar, Kwen-Siong Chong, Ne Kyaw Zwa Lwin, Nay Aung Kyaw, L. Nalangilli, W. Shu, Joseph S. Chang, Bah-Hwee Gwee:
Low Gate-Count Ultra-Small Area Nano Advanced Encryption Standard (AES) Design. 1-5 - Eugene Lee, Tsu-Jui Hsu, Chen-Yi Lee:
Centralized State Sensing using Sensor Array on Wearable Device. 1-5 - Mohammadreza Mehrpoo, Bishnu Patra, Jiang Gong, Pascal Alexander 't Hart, Jeroen P. G. van Dijk, Harald Homulle, Gerd Kiene, Andrei Vladimirescu, Fabio Sebastiano, Edoardo Charbon, Masoud Babaie:
Benefits and Challenges of Designing Cryogenic CMOS RF Circuits for Quantum Computers. 1-5 - Pablo Rubio-Ibáñez, J. Javier Martínez-Álvarez, Ginés Doménech-Asensi:
Efficient VHDL Implementation of an Upscaling Function for Real Time Video Applications. 1-5 - Di He, Xin Chen, Danping Zou, Ling Pei, Lingge Jiang:
A Novel Wireless Positioning Approach Based on Distributed Stochastic-Resonance-Enhanced Power Spectrum Fusion Technique. 1-5 - Huan-Jui Hu, Yi-Shen Cheng, Soon-Jyh Chang:
A 10-bit 1-GS/s 2x-Interleaved Timing-Skew Calibration Free SAR ADC. 1-5 - Changho Hyun, Hyeongjun Ko, Joo-Hyung Chae, Hyunkyu Park, Suhwan Kim:
A 20Gb/s Dual-Mode PAM4/NRZ Single-Ended Transmitter with RLM Compensation. 1-4 - Abirmoya Santra, Angelo De Carmine, Guttha Venkata Sesha Rao, Qadeer A. Khan:
A Highly Scalable, Time-Based Capless Low-Dropout Regulator using Master-Slave Domino Control. 1-4 - Chirag Sudarshan, Jan Lappas, Muhammad Mohsin Ghaffar, Vladimir Rybalkin, Christian Weis, Matthias Jung, Norbert Wehn:
An In-DRAM Neural Network Processing Engine. 1-5 - Atsushi Matsuda, Hirotaka Koizumi, Takaharu Sato:
Two-Stage Interleaved DC-DC Converter with Input-Parallel Output-Series Connection. 1-5 - Stéphano M. M. Gonçalves, Leomar S. da Rosa, Felipe S. de Marques:
An Improved Heuristic Function for A∗-Based Path Search in Detailed Routing. 1-5 - Mahmood Uddin Mohammed, Athiya Nizam, Liaquat Ali, Masud H. Chowdhury:
A Low Leakage SRAM Bitcell Design Based on MOS-Type Graphene Nano-Ribbon FET. 1-4 - Chee Hoo Kok, Chia Yee Ooi, Mehrdad Moghbel, Nordinah Ismail, Hau Sim Choo, Michiko Inoue:
Classification of Trojan Nets Based on SCOAP Values using Supervised Learning. 1-5 - Saihua Xu, Jun Wei Lee:
Calibration and Correction of Timing Mismatch Error in Two-Channel Time-Interleaved DACs. 1-5 - Dawei Ye, Rongjin Xu, Liangjian Lyu, Chuanjin Richard Shi:
A 2.46GHz, -88dBm Sensitivity CMOS Passive Mixer-First Nonlinear Receiver with >50dB Tolerance to In-Band Interferer. 1-4 - Zhikuang Cai, Wenhua Lin, Xuanchen Qi, Jian Xiao:
Design of Low-Cost Ground Penetrating Radar Receiving Circuit Based on Equivalent Sampling. 1-4 - Pietro Burrascano, Giulia Di Capua, Stefano Laureti, Marco Ricci:
Neural Models of Ferrite Inductors Non-Linear Behavior. 1-5 - Kuniyasu Shimizu, Tetsuro Endo:
Pattern Formation Originating from Multimode Oscillations in Five-Coupled Bistable Oscillators. 1-5 - Haoran Jin, Ruochong Zhang, Siyu Liu, Yuanjin Zheng:
A Miniaturized Dual-Modality Photoacoustic Fusion Imaging System. 1-5 - Jordi Madrenas, Josep Maria Sánchez-Chiva, Daniel Fernández, Jordi Cosp:
Design Considerations for Analog LCMOS Harvest-Use Integrated Signal Processing. 1-5 - Song Wang, Xin Guo, Yun Tie, Lin Qi, Ling Guan:
A Novel Weighted Hybrid Multi-View Fusion Algorithm for Semi-Supervised Classification. 1-5 - Kuo-Wei Chang, Tian-Sheuan Chang:
VSCNN: Convolution Neural Network Accelerator with Vector Sparsity. 1-5 - Biyin Wang, Xiaojin Zhao, Yue Zheng, Chip-Hong Chang:
An In-Pixel Gain Amplifier Based Event-Driven Physical Unclonable Function for CMOS Dynamic Vision Sensors. 1-5 - Kai-Yin Fok, Nuwan Ganganath, Chi-Tsun Cheng, Herbert Ho-Ching Iu, Chi K. Tse:
Tool-Path Optimization using Neural Networks. 1-5 - Hiromitsu Ikegami, Hiroyuki Mori:
Development of Advanced Parallel MVMO-SH for Voltage Control in Distribution Systems. 1-4 - Mário Saldanha, Gustavo Sanchez, Bruno Zatt, César A. M. Marcon, Luciano Agostini:
TITAN: Tile Timing-Aware Balancing Algorithm for Speeding Up the 3D-HEVC Intra Coding. 1-5 - Yu Zhao, Peng Ye, Kuojun Yang, Jie Meng, Jian Gao, Wentao Wei, Jinpeng Song, Yi Zhou:
Reconstruction Strategy for Bandwidth-Interleaved Acquisition System with Overlapping Band. 1-5 - Hendrik Bluhm, Lars R. Schreiber:
Semiconductor Spin Qubits - A Scalable Platform for Quantum Computing? 1-5 - Shouri Chatterjee, Gajendranath Chowdary:
A 200-pA Under-Voltage Lockout Circuit for Ultra-Low Power Applications. 1-4 - Tao Han, Yuze Wang, Peng Liu:
Hardware Trojans Detection at Register Transfer Level Based on Machine Learning. 1-5 - Yoko Uwate, Yoshifumi Nishio:
Amplitude Death in Coupled Oscillatory Systems Inspired by Brain Networks with Different Frequency. 1-5 - Yu-Jin Lin, Chen-Wei Chuang, Chun-Yueh Yen, Sheng-Hsin Huang, Peng-Wei Huang, Ju-Yi Chen, Shuenn-Yuh Lee:
An Intelligent Stethoscope with ECG and Heart Sound Synchronous Display. 1-4 - B. Dinesh Kumar, Hitesh Shrimali, Navneet Gupta:
A 6-Bit, 29.56 fJ/Conv-Step, Voltage Scalable Flash-SAR Hybrid ADC in 28 nm CMOS. 1-5 - Gonçalo Rodrigues, Jorge R. Fernandes, Taimur Gibran Rabuske:
A Small-Footprint Quasi-Passive 1st Order ΣΔ Modulator. 1-5 - Holger Mandry, Andreas Herkle, Ludwig Kürzinger, Sven Müelich, Joachim Becker, Robert F. H. Fischer, Maurits Ortmanns:
Modular PUF Coding Chain with High-Speed Reed-Muller Decoder. 1-5 - DanYu Wu, Lei Zhou, Huasen Liu, Yinkun Huang, Jian Luan, Xuan Guo, Jin Wu, Xinyu Liu:
A 10-GS/s 8-bit SiGe ADC with Isolated 4×4 Analog Input Multiplexer. 1-4 - Chengying Chen, Feng Zhang:
A 1-V, 82-dB SNR Analog Front-End with Peak-Statistics and Comparative-DWA Algorithm. 1-4 - Fan Ye, Shuai Li, Min Zhu, Zhekan Ni, Junyan Ren:
A 13-bit 180-MS/s SAR ADC with Efficient Capacitor-Mismatch Estimation and Dither Enhancement. 1-4 - Leon Riesebos, Xiang Fu, A. A. Moueddenne, Lingling Lao, Savvas Varsamopoulos, Imran Ashraf, J. van Someren, Nader Khammassi, Carmen G. Almudéver, Koen Bertels:
Quantum Accelerated Computer Architectures. 1-4 - Aishwarya Natarajan, Jennifer Hasler:
Implementation of Synapses with Hodgkin Huxley Neurons on the FPAA. 1-5 - Kun-Chih Wu, Meng-Shuan Wu, Hao-Chiao Hong:
Multiple Correlation Estimation Based Digital Background Calibration Scheme for Pipelined ADCs. 1-5 - Menglin Cui, Yang Zhang:
Memristive Synaptic Circuits for Deep Convolutional Neural Networks. 1-5 - Ankit Tripathi, Mehdi Arabizadeh, Sourabh Khandelwal, Chetan Singh Thakur:
Analog Neuromorphic System Based on Multi Input Floating Gate MOS Neuron Model. 1-5 - Joseph Clements, Yingjie Lao:
Hardware Trojan Design on Neural Networks. 1-5 - Jun Shi, Changsheng Gao, Zhibo Chen:
Asymmetric-Kernel CNN Based Fast CTU Partition for HEVC Intra Coding. 1-5 - Shan Cao, Zhenyi Bao, Chengbo Xue, Wei Deng, Shugong Xu, Shunqing Zhang:
A Pre-RTL Simulator for Neural Networks. 1-5 - Lai Wei, Xiang-Hui Pan, Chi-Hang Chan, Yan Zhu, Rui Paulo Martins:
Input Correlated Swap-Sampling Technique for Input Driver Power Reduction in a 12b 25MS/s SAR ADC. 1-5 - Melika Payvand, Giacomo Indiveri:
Spike-Based Plasticity Circuits for Always-on On-Line Learning in Neuromorphic Systems. 1-5 - Michiel Van Beirendonck, Louis-Charles Trudeau, Pascal Giard, Alexios Balatsoukas-Stimming:
A Lyra2 FPGA Core for Lyra2REv2-Based Cryptocurrencies. 1-5 - Changyue Ma, Dong Liu, Xiulian Peng, Zheng-Jun Zha, Feng Wu:
Neural Network-Based Arithmetic Coding for Inter Prediction Information in HEVC. 1-5 - Manu V. Nair, Giacomo Indiveri:
An Ultra-Low Power Sigma-Delta Neuron Circuit. 1-5 - Wei Wang, Baoyong Chi:
A Wideband High PSRR Capacitor-Less LDO with Adaptive DC Level Shift and Bulk-Driven Feed-Forward Techniques in 28nm CMOS. 1-5 - Andrii Sokolov, Michael Peter Kennedy, Elena Blokhina:
Method of Equivalent Currents for the Calculation of Magnetic Fields in Inductors and Magnets with Application to Electronics. 1-4 - Pei-Yun Tsai, Tien-I Yang, Ching-Horng Lee, Li-Mei Chen, Sz-Yuan Lee:
Design of a Tunable Block Floating-Point Quantizer with Fractional Exponent. 1-5 - Lieuwe B. Leene, Shiva Letchumanan, Timothy G. Constandinou:
A 68μW 31kS/s Fully-Capacitive Noise-Shaping SAR ADC with 102 dB SNDR. 1-5 - Nima Lotfi, Pedro Lehmann Ibáñez, Marcel Runge, Friedel Gerfers:
A Single-Channel 18.5 GS/s 5-bit Flash ADC using a Body-Biased Comparator Architecture in 22nm FD-SOI. 1-4 - Elisabetta Moisello, Michele Vaiana, Maria Eloisa Castagna, Giuseppe Bruno, Edoardo Bonizzoni, Piero Malcovati:
A Chopper Interface Circuit for Thermopile-Based Thermal Sensors. 1-5 - Tasnuva Noor, Emre Salman:
A Novel Glitch-Free Integrated Clock Gating Cell for High Reliability. 1-5 - Raja Sharma, Sarthak Gupta, Kundan Kumar, Pratik Kumar, Chetan Singh Thakur:
Real-Time Image Segmentation using Neuromorphic Pixel Array. 1-5 - Takao Kihara, Keisuke Miyakoshi, Tsutomu Yoshimura:
Digital Third-Order Nonlinearity Correction for Time-Interleaved A/D Converters with VCOs. 1-4 - Xinlin Geng, Qian Xie, Yibo Tian, Yu Duan, Zheng Wang:
The Design of a 28GHz Mixer-Embedded Frequency Shifting PLL in 65nm CMOS with Low In-Band Phase Noise. 1-4 - Ryan Madler, Aritra Kundu, Ahmed Fahmy, Erin E. Patrick, Rizwan Bashirullah, Nima Maghari:
Multi-Path Integrate and Fire Circuit for Determination of Tactile Sensations in a Prosthetic Limb. 1-4 - Sijie Chen, Tingcun Wei, Nan Chen, Wei He, Jianfu Liu, Xiaochun He:
Design of a Readout Circuit Chip for a Cosmic Ray Muon Detector with Multi-Angular Acceptance. 1-4 - Zhengyi Luo, Yan Huang, Xiangwen Wang, Rong Xie, Li Song:
VMAF Oriented Perceptual Optimization for Video Coding. 1-5 - Ruoman Yang, Chao Liu, Xiangyu Zhao, Sheng Chang, Xiong Zhou, Qiang Li:
A Loss-Compensated 5-Bit Ka-Band Digital Phase Shifter with Low RMS Phase/Gain Error Over Wide Temperature Ranges. 1-5 - Longjun Liu, Hongbin Sun, Peng Gao, Nanning Zheng, Tao Li:
REcache: Efficient Sustainable Energy Management Circuits and Policies for Computing Systems. 1-5 - Murali Krishna Rajendran, Priya V. Annam Abhilash, Gajendranath Chowdary, Ashudeb Dutta:
An Event Triggered-FOCV MPP Technique with Irradiance Change Detection Block for Next Generation EH-Converters. 1-5 - Tayeb H. C. Bouazza, Smail Bachir, Claude Duvanaud:
Behavioral Blocks Model for Complexity-Reduced Modeling of RF Power Amplifiers. 1-5 - Bin Wu, James E. Stine, Matthew R. Guthaus:
Fast and Area-Efficient SRAM Word-Line Optimization. 1-5 - Khoa Van Pham, Kyeong-Sik Min:
Non-Ideal Effects of Memristor-CMOS Hybrid Circuits for Realizing Multiple-Layer Neural Networks. 1-5 - Alberto Reatti, Fabio Corti, Alberto Tesi, A. Torlai, Marian K. Kazimierczuk:
Effect of Parasitic Components on Dynamic Performance of Power Stages of DC-DC PWM Buck and Boost Converters in CCM. 1-5 - Karlheinz Ochs, Enver Solan, Dennis Michaelis, Maximilian Herbrechter:
Towards Wave Digital Memcomputing with Physical Memristor Models. 1-4 - Sifeng Xia, Wenhan Yang, Yueyu Hu, Wen-Huang Cheng, Jiaying Liu:
Switch Mode Based Deep Fractional Interpolation in Video Coding. 1-5 - Marina Zlochisti, Seyed Alireza Zahrai, Nicolas Le Dortz, Marvin Onabajo:
Comparator Design and Calibration for Flash ADCs within Two-Step ADC Architectures. 1-5 - Qinhao Wang, Amir Masoud Gharehbaghi, Takeshi Matsumoto, Masahiro Fujita:
High-Level Engineering Change Through Programmable Datapath and SMT Solvers. 1-5 - Abilash Venkatesh, Arindam Sanyal:
A Machine Learning Resistant Strong PUF using Subthreshold Voltage Divider Array in 65nm CMOS. 1-5 - Hua Fan, Yang Liu, Guoqin Yin, Quanyuan Feng, Yi Niu, Hongrui Che, Xuanhong Zeng, Qian Shen, Xiuhua Xie, Xiang He, Weijian Chen, Hadi Heidari:
Innovations of Microcontroller Unit Based on Experiment. 1-5 - Carlos Sánchez-Azqueta, Santiago Celma, Concepción Aldea, Cecilia Gimeno, E. Cascarosa:
ICT-Based Didactic Strategies to Build Knowledge Models in Electronics in Higher Education. 1-5 - Sirish Kumar Pasupuleti, Raj Narayana Gadde, Vasanthakumar Rajagopal, Ashok Vishnoi, N. Chandra Sekhar, R. Chandra Kumar, Narasinga Rao Miniskar:
Low Complex & High Accuracy Computation Approximations to Enable On-Device RNN Applications. 1-5 - Tsung-Yen Wu, Jau-Ji Jou, Tien-Tsorng Shih, Po-Jui Chiang, Yaw-Dung Wu:
Design of a 25-Gb/s PAM-4 VCSEL Diode Driver with an Equalizer in 90-nm CMOS Technology. 1-4 - Dixian Zhao, Jiajun Zhang, Yongran Yi, Peng Gu, Ning Jiang:
5G Millimeter-Wave Phased-Array Transceiver: System Considerations and Circuit Implementations. 1-4 - Aslak Lykre Holen, Trond Ytterdal:
A High-Voltage Cascode-Connected Three-Level Pulse-Generator for Bio-Medical Ultrasound Applications. 1-5 - Miguel Cacho-Soblechero, Pantelis Georgiou:
A Programmable, Highly Linear and PVT-Insensitive ISFET Array for PoC Diagnosis. 1-5 - Quentin Delhaye, Dragomir Milojevic, Joël Goossens:
3D-Stacked Integrated Circuits: How Fine Should System Partitioning Be? 1-5 - Nicholas Jao, Akshay Krishna Ramanathan, Abhronil Sengupta, John Sampson, Vijaykrishnan Narayanan:
Programmable Non-Volatile Memory Design Featuring Reconfigurable In-Memory Operations. 1-5 - Amparo Güemes, Pau Herrero, Pantelis Georgiou:
A Novel Glucose Controller using Insulin Sensitivity Modulation for Management of Type 1 Diabetes. 1-5 - Srivatsa Rangachar Srinivasa, Wei-Hao Chen, Yung-Ning Tu, Meng-Fan Chang, Jack Sampson, Vijaykrishnan Narayanan:
Monolithic-3D Integration Augmented Design Techniques for Computing in SRAMs. 1-5 - Alberto Oliveri, Roberto Raiteri, Matteo Lodi, Marco Storace:
A Toolchain for Open-Loop Compensation of Hysteresis and Creep in Atomic Force Microscopes. 1-5 - Luís Fiolhais, Fernando M. Gonçalves, Rui Policarpo Duarte, Mário P. Véstias, José T. de Sousa:
Low Energy Heterogeneous Computing with Multiple RISC-V and CGRA Cores. 1-5 - Yuze Wang, Tao Han, Xiaoxia Han, Peng Liu:
Ensemble-Learning-Based Hardware Trojans Detection Method by Detecting the Trigger Nets. 1-5 - Tomomi Ogawa, Takuya Higo, Hiroki Matsumoto:
Block BSS Method for Extracting Pulse Wave Under Noisy Environment. 1-5 - Yuhuang Hu, Tobi Delbrück, Shih-Chii Liu:
Incremental Learning Meets Reduced Precision Networks. 1-5 - Muath Abu Lebdeh, Uljana Reinsalu, Hoang Anh Du Nguyen, Stephan Wong, Said Hamdioui:
Memristive Device Based Circuits for Computation-in-Memory Architectures. 1-5 - Yi-Shen Cheng, Huan-Jui Hu, Soon-Jyh Chang:
A 2-GS/s 8b Flash-SAR Time-Interleaved ADC with Background Offset Calibration. 1-5 - Jose Cisneros-Fernández, Michele Dei, Lluís Terés, Francisco Serra-Graells:
Switch-Less Frequency-Domain Multiplexing of GFET Sensors and Low-Power CMOS Frontend for 1024-Channel μECoG. 1-5 - Yuanfei Huang, Tutu Wan, Emre Salman, Milutin Stanacevic:
Signal Shaping at Interface of Wireless Power Harvesting and AC Computational Logic. 1-5 - Yu Wu, Dai Jiang, Peter J. Langlois, Richard H. Bayford, Andreas Demosthenous:
A Power-Efficient Current Generator with Common Mode Signal Autozero Feedback for Bioimpedance Measurement Applications. 1-4 - Qinyu Chen, Yuxiang Fu, Kaifeng Cheng, Wenqing Song, Zhonghai Lu, Li Li, Chuan Zhang:
Smilodon: An Efficient Accelerator for Low Bit-Width CNNs with Task Partitioning. 1-5 - Aref Majdara, Saeid Nooshabadi:
Progressive Binary Partitioning for Performance Improvement in Multivariate Density Estimation. 1-5 - Alberto Reatti, Fabio Corti, Alberto Tesi, A. Torlai, Marian K. Kazimierczuk:
Nonlinear Exact Analysis and Solution of Power Stage of DC-DC PWM Boost Converter. 1-5 - Shanxi Li, Qingguo Zhou, Zhifeng Chen, Yuhong Liu, Nam Ling:
A Linear Model for YUV 4: 2: 0 Chroma Intra Prediction. 1-5 - Hachem Bensalem, Yves Blaquière, Yvon Savaria:
Toward In-System Monitoring of OpenCL-Based Designs on FPGA. 1-5 - Jue Mao, Hualong Yu, Xiaoding Gao, Lu Yu:
CNN-Based Bi-Prediction Utilizing Spatial Information for Video Coding. 1-5 - Astri Handayani, Agung Wahyu Setiawan, Widyawardana Adiprawita:
Development of an Integrated Laboratory Course Sequence on Biomedical Instrumentation and Signal Processing. 1-5 - Chee-Kit Ng, Kang-Lun Chiu, Yu-Chun Lin, Shyh-Jye Jou:
A 50 Gb/s Adaptive Dual Data-Paths NS-EICL ADFE with 50 Parallelisms for 2-PAM Systems. 1-5 - Zongxian Yang, Lan Wei:
Logic Circuit and Memory Design for In-Memory Computing Applications using Bipolar RRAMs. 1-5 - Ioulia Tzouvadaki, Nima Aliakbarinodehi, Diana Dávila Pineda, Giovanni De Micheli, Sandro Carrara:
Direct and Catalyst-Free Growth of Vertically-Stacked Graphene-Based Structures for Enhanced Drug Sensing. 1-5 - Jian Luo, Jing Li, Ning Ning, Kejun Wu, Zhen Liu, Yang Liu, Qi Yu:
A Low Voltage 10-Bit Non-Binary 2B/Cycle Time and Voltage Based SAR ADC. 1-5 - Dongdong Zhao, Shi Yan, Shinya Matsushita, Li Xu:
Exact Order Reduction for the Fornasini-Marchesini State-Space Model Based on Common Invariant Subspace. 1-4 - Davit Hovhannisyan, Ahmed M. Eltawil, Fadi J. Kurdahi:
Testing Topology Adaptive Irrigation IoT with Circuits. 1-5 - Ryusuke Miyamoto, Takeshi Nakajima, Takuro Oki:
Accurate Fashion Style Estimation with a Novel Training Set and Removal of Unnecessary Pixels. 1-5 - Matías R. Miguez, Ruben Deleón, Gabriel Vicente, Roberto Zoppolo:
Real Time Tree Row Volume Estimation for Efficient Application of Phytosanitary Products in Fruit Trees. 1-3 - Marian Ion, Silviu Dinulescu, Carmen Moldovan, Carmen Mihailescu, Mihaela Savin:
Integrated Sensor Array Platform for Monitoring Chemical Contaminants in Water Sources. 1-4 - Victor Grimblatt, Guillaume Ferré, Francois Rivet, Christophe Jégo, Nicolas Vergara:
Precision Agriculture for Small to Medium Size Farmers - An IoT Approach. 1-5 - Heng Zhao, Kim-Hui Yap, Alex C. Kot, Lingyu Duan, Ngai-Man Cheung:
Few-Shot and Many-Shot Fusion Learning in Mobile Visual Food Recognition. 1-5 - Xiaoya Li, Daniel Neil, Tobi Delbrück, Shih-Chii Liu:
Lip Reading Deep Network Exploiting Multi-Modal Spiking Visual and Auditory Sensors. 1-5 - Chiat-Pin Tay, Sharmili Roy, Kim-Hui Yap:
Multitask Person Re-Identification using Homoscedastic Uncertainty Learning. 1-5 - Ivana Vasiljevic, Aleksandra Lekic, Dusan M. Stipanovic:
Lyapunov Analysis of the Chaotic Colpitts Oscillator. 1-5 - Nipun Katyal, Mukul Kumar, Prathamesh Deshmukh, Nersisson Ruban:
Automated Detection and Rectification of Defects in Fluid-Based Packaging using Machine Vision. 1-5 - Davit Hovhannisyan, Kareem Khalifeh, Peng Fei, Ahmed M. Eltawil, Fadi J. Kurdahi:
Feasibility Study of Plant Health Monitoring. 1-4 - Riccardo Zurla, Alessandro Cabrini, Laura Capecchi, Marcella Carissimi, Marco Pasotti, Guido Torelli:
Enhanced Multiple-Output Programmable Current Pulse Generator. 1-5 - Sercan Tanriseven, Niyazi Ugur, Alper Kanak, Salih Ergün:
ERINOKS: EneRgy-Efficient INduction-Based Food Processing for Optimized KitchenS. 1-5 - Jirabhorn Chaiwongsai:
Automatic Control and Management System for Tropical Hydroponic Cultivation. 1-4 - Ai He, Weixin Gai, Yufan Feng, Zhongzhu Pu, Xiao Xiang:
Double-Comparison Settling Error Correction Scheme for Binary Scaled SAR ADCs. 1-4 - Zhenxin Zhao, Lihong Zhang:
Graph-Grammar-Based Analog Circuit Topology Synthesis. 1-5 - Stephan Tilgner, Daniel Wagner, Kathrin Kalischewski, Jörg Velten, Anton Kummert:
Multi-View Fusion Neural Network with Application in the Manufacturing Industry. 1-5 - Bruno Bellini, Juan Pablo Becoña, Ana Sofía Pereira, Cristian Vázquez, Alfredo Arnaud:
IoT in the Agribusiness, a Power Consumption View. 1-4 - Paolo Motto Ros, Enrico Macrelli, Alessandro Sanginario, Yosi Shacham-Diamand, Danilo Demarchi:
Electronic System for Signal Transmission Inside Green Plant Body. 1-5 - Atul Thakur, Shouri Chatterjee:
On the Output Impedance of Integrated LNAs. 1-5 - Adam B. Cellon, Adebayo A. Eisape, Masanori Furuta, Ralph Etienne-Cummings:
Velocity-Controlled Oscillators for Hippocampal Navigation on Spiking Neuromorphic Hardware. 1-5 - Xinyu Zhang, Mo Zhou, Wenbo Shao, Tao Luo, Jun Li:
The Architecture of the Intended Safety System for Intelligent Driving. 1-4 - Bibrat Ranjan Pradhan, Yeshwanth Bethi, Sathyaprakash Narayanan, Anirban Chakraborty, Chetan Singh Thakur:
N-HAR: A Neuromorphic Event-Based Human Activity Recognition System using Memory Surfaces. 1-5 - Wei-Juen Suen, Hsin-Hua Liu, Soo-Chang Pei, Kuan-Hsien Liu, Tsung-Jung Liu:
Spatial-Temporal Visual Attention Model for Video Quality Assessment. 1-5 - Zhida Li, Ana Laura Gonzalez Rios, Guangyu Xu, Ljiljana Trajkovic:
Machine Learning Techniques for Classifying Network Anomalies and Intrusions. 1-5 - Yasamin Fazliani, Ernesto Andrade, Shahram Shirani:
Learning Based Hybrid No-Reference Video Quality Assessment of Compressed Videos. 1-5 - Siyuan Peng, Wee Ser, Zhiping Lin, Badong Chen:
Dual Graph Regularized Sparse Nonnegative Matrix Factorization for Data Representation. 1-5 - Foad Arvani, Tony Chan Carusone, Edward S. Rogers:
TDC Sharing in SPAD-Based Direct Time-of-Flight 3D Imaging Applications. 1-5 - Mehdi Noormohammadi Khiarak, Sylvain Martel, Benoit Gosselin:
CMOS Optoelectronic Lock-in Amplifier with Semi-Digital Automatic Phase Alignment. 1-5 - Zexue Liu, Zhengkun Shen, Yi Tan, Haoyun Jiang, Heyi Li, Junhua Liu, Huailin Liao:
A 0.5-V Ultra-Low-Power Low-Pass Filter with a Bulk-Feedback Technique. 1-5 - Muluken Hailesellasie, Syed Rafay Hasan, Otmane Aït Mohamed:
MulMapper: Towards an Automated FPGA-Based CNN Processor Generator Based on a Dynamic Design Space Exploration. 1-5 - Eric Gutierrez, Leidy Mabel Alvero-Gonzalez, Luis Hernández, Pieter Rombouts:
VCO-ADCs with a Quadrature Band-Pass Noise-Transfer-Function. 1-5 - Hang Wang, Tiancheng Wang, Yunqi Mi, Hongbin Sun, Nanning Zheng:
A Hardware-Efficient Post-Processing Algorithm for Motion Compensated Frame Rate Up-Conversion. 1-5 - Vedat Tavsanoglu:
Teaching Aliasing and Spectral Leakage Through the Sampling of Images. 1-5 - Troy Bryant, Taewook Kim, Nima Maghari:
A Self-Reset Transconductance Integrating Leakage Latch (STILL) for Ultra-Low Power Sensor Interfacing. 1-5 - Yohsuke Shiiki, Hiroki Ishikuro:
Interface with Opamp Output-Impedance Calibration Technique for a Large Integrated 2-D Resistive Sensor Array. 1-5 - Biao Pan, Kang Wang, Xing Chen, Jinyu Bai, Jianlei Yang, Sai Li, Youguang Zhang, Weisheng Zhao:
Magnetic Skyrmion-Based Neural Recording System Design for Brain Machine Interface. 1-5 - Walter D. Leon-Salas, Xiaozhe Fan:
Photo-Luminescence Modulation Circuits for Solar Cell Based Optical Communications. 1-5 - Jinkyu Park, Won Namgoong:
An Approach to Compensate for Capacitor Mismatches in SAR ADC using Multiple Comparisons. 1-4 - Harjap Singh Saini, Anu Gupta:
Differential Power Analysis Immune Design of FinFET Based Novel Differential Logic Gate. 1-5 - Norberto Pérez-Prieto, José Luis Valtierra, Manuel Delgado-Restituto, Ángel Rodríguez-Vázquez:
A Sub-μVRms Chopper Front-End for ECoG Recording. 1-5 - Anne Siemon, Dirk J. Wouters, Said Hamdioui, Stephan Menzel:
Memristive Device Modeling and Circuit Design Exploration for Computation-in-Memory. 1-5 - Youngsoo Song, Jinwook Jung, Youngsoo Shin:
Standard Cell Layout Design and Placement Optimization for TFET-Based Circuits. 1-5 - Chamira U. S. Edussooriya, Chamith Wijenayake, Sravan Kumar Pulipati, Arjuna Madanayake, Leonard T. Bruton:
Low-Complexity Wideband Transmit Array using Variable-Precision 2-D Sparse FIR Digital Filters. 1-5 - Thomas Dalgaty, Melika Payvand, Barbara De Salvo, Jerome Casas, Giusy Lama, Etienne Nowak, Giacomo Indiveri, Elisa Vianello:
Hybrid CMOS-RRAM Neurons with Intrinsic Plasticity. 1-5 - Charanraj Mohan, José M. de la Rosa, Elisa Vianello, Luca Perniola, Carlo Reita, Bernabé Linares-Barranco, Teresa Serrano-Gotarredona:
A Current Attenuator for Efficient Memristive Crossbars Read-Out. 1-5 - Pengfei Sun, Shunkun Yang, Zhongde Lai, Daqing Li, Anzhuo Yao:
Function-Call Network Reliability of Kernel in Android Operating System. 1-5 - Zhengqiang Tang, Di He, Shintaro Arai, Danping Zou:
Positioning-Aided Scheme for Image Sensor Communication using Single-View Geometry. 1-5 - Wei Zhou, Wang Ling Goh, Yuan Gao:
A 1.6MHz Swing-Boosted Relaxation Oscillator with ±0.15%/V 23.4ppm/°C Frequency Inaccuracy using Voltage-to-Delay Feedback. 1-4 - Simon Baumann, Timo Lausen, Roland Thewes:
Homogeneity Enhancement of Current-to-Frequency-Converters Operated in Sensor Array Applications. 1-4 - Shogo Semba, Hiroshi Saito:
Comparison of RTL Conversion and GL Conversion from Synchronous Circuits to Asynchronous Circuits. 1-4 - Zheng Gong, Wuyang Xue, Ziang Liu, Yimo Zhao, Ruihang Miao, Rendong Ying, Peilin Liu:
Design of a Reconfigurable Multi-Sensor Testbed for Autonomous Vehicles and Ground Robots. 1-5 - Lars Urbansky, Udo Zölzer:
A Digital Radio-Frequency Condenser Microphone with Amplitude Modulation. 1-5 - Yizhak Shifman, Avi Miller, Yoav Weizman, Alexander Fish, Joseph Shor:
An SRAM PUF with 2 Independent Bits/Cell in 65nm. 1-5 - Chithra, Nagendra Krishnapura:
Static Phase Offset Reduction Technique for Delay Locked Loops. 1-5 - Jordi Bonet-Dalmau, Pere Palà-Schönwälder, F. Xavier Moncunill-Geniz, Francisco del Águìla López, M. Rosa Giralt-Mas:
Signal and Noise Power Spectra in Superregenerative Oscillators. 1-5 - Akio Takada:
White Noise Spectra Obtained in a Phase-Locked Loop Operating Like a Josephson Junction. 1-5 - Yaoyu Tao, Qi Wu:
An Automated FPGA-Based Framework for Rapid Prototyping of Nonbinary LDPC Codes. 1-5 - Kang Zhao, Junrui Liang, Haoyu Wang:
Series Synchronized Triple Bias-Flip (S-S3BF) Interface Circuit for Piezoelectric Energy Harvesting. 1-5 - Tzung-Je Lee, Chia-Hsin Hsu, Chua-Chin Wang:
High Efficiency Buck Converter with Wide Load Current Range using Dual-Mode of PWM and PSM. 1-4 - Shaghayegh Aslanzadeh, Ava Hedayatipour, Shahram Hatefi Hesari, Nicole McFarlane:
A Low-Power Voltage-Clamped CMOS pH to Frequency Sensor. 1-5 - Yung-Hui Chung, Min-Sheng Chiang:
A 12-Bit Synchronous-SAR ADC for IoT Applications. 1-5 - Loai Danial, Sidharth Thomas, Shahar Kvatinsky:
Delta-Sigma Modulation Neurons for High-Precision Training of Memristive Synapses in Deep Neural Networks. 1-5 - Chun-Yang Chen, Wan-Jen Huang:
Spectrum-Efficient Precoding Design for Full-Duplex MIMO Relay Systems. 1-4 - Lee Bar-on, Aakash Jog, Yosi Shacham-Diamand:
Four Point Probe Electrical Spectroscopy Based System for Plant Monitoring. 1-5 - Arjun Ramaswami Palaniappan, Liter Siek:
A 0.6 V, 1.74 ps Resolution Capacitively Boosted Time-to-Digital Converter in 180 nm CMOS. 1-4 - J. Illade-Quinteiro, Paula López, Víctor M. Brea, Diego Cabello:
Time-of-Flight Pixel with Homodyne Phase Demodulation in Standard CMOS Technology. 1-5 - Qin Kuai, Qiping Wan, Philip K. T. Mok:
An Auto-Polarity Thermoelectric Energy Harvesting Interface Based on a Boost/Buck-Boost Converter. 1-4 - Zao-Fu Yang, Jung-Chun Chi, Po-Wei Fu, Jenwei Liang, Chiao-En Chen, Yuan-Hao Huang:
Given-Rotation-Based Generalized Eigenvalue Decomposition Processor for MU-MIMO Precoding. 1-4 - Humam Al-Baidhani, Marian K. Kazimierczuk, Thomas Salvatierra, Alberto Reatti, Fabio Corti:
Sliding-Mode Voltage Control of Dynamic Power Supply for CCM. 1-5 - Shankar A. Deka, Dusan M. Stipanovic, Boris Murmann, Claire J. Tomlin:
Long-Short Term Memory Neural Network Stability and Stabilization using Linear Matrix Inequalities. 1-4 - Feng Sun, He Zhu, Xi Zhu, Yang Yang, Yichuang Sun, Quan Xue:
Design of Ultra-Wideband On-Chip Millimter-Wave Bandpass Filter in 0.13-μm (Bi)-CMOS Technology. 1-4 - Siva Kumar Govindan, Huan Hu, Chung-Ching Lin, Subhanshu Gupta:
A 25.6μW 8.97ps Period Jitter Phase-Locked Relaxation Oscillator with sub-1µS Start-Up for Low-Power IoT. 1-5 - Zhengkun Shen, Zexue Liu, Haoyun Jiang, Yi Tan, Heyi Li, Xiucheng Hao, Junhua Liu, Huailin Liao:
A 28 GHz 8-Bit Calibration-Free LO-Path Phase Shifter using Transformer-Based Vector Summing Topology in 40 nm CMOS. 1-5 - Sarthak Gupta, Pratik Kumar, Kundan Kumar, Satrajit Chakraborty, Chetan Singh Thakur:
Low Power Neuromorphic Analog System Based on Sub-Threshold Current Mode Circuits. 1-5 - Junyu Dai, Jiuchao Qian, Zheng Tao, Junhong Chen, Xiaoguang Zhu, Huaqing Shao, Zheng Gong, Peilin Liu:
A System Integrating Speech Interaction and Vision Sensing Applying in Smart Home Scenario. 1-5 - Matteo Lodi, Andrey Shilnikov, Marco Storace:
Digital Architecture to Realize Programmable Central Pattern Generators Producing Multiple Gaits. 1-5 - Yan Liu, Timothy G. Constandinou, Pantelis Georgiou:
A 32×32 ISFET Array with In-Pixel Digitisation and Column-Wise TDC for Ultra-Fast Chemical Sensing. 1-5 - Junming Zeng, Pantelis Georgiou:
Current-Mode ISFET Array with Row-Parallel ADCs for Ultra-High Speed Ion Imaging. 1-5 - Arpan Jain, Ashfakh Ali, Sai Kiran, Zia Abbas:
A High PSRR, Stable CMOS Current Reference using Process Insensitive TC of Resistance for Wide Temperature Applications. 1-5 - Liming Xiu, Xiangye Wei:
A 0.02 Ppb/Step Wide Range DCXO Based on Time-Average-Frequency: Demonstration on FPGA. 1-5 - Shuhei Amakawa, Minoru Fujishima:
Wideband Power-Line Decoupling Technique for Millimeter-Wave CMOS Integrated Circuits. 1-4 - Wu-Jiu Sun, Xiao Fan Liu:
Inferring Relationship Semantics in Social Networks with Dual-View Features Semi-Supervised Learning. 1-5 - Changsok Han, Taewook Kim, Xiaodong Xu, Nima Maghari:
Study of Quantizer-Bandwidth in Continuous-Time Delta-Sigma Modulators. 1-5 - Takao Marukame, Junichi Sugino, Toshimitsu Kitamura, Kazuo Ishikawa, Koji Takahashi, Yutaka Tamura, Radu Berdan, Kumiko Nomura, Yoshifumi Nishi:
Nonlinear Operation of Static-Binary Neuron Circuits and Dynamic Memristive Devices for STDP Learning. 1-5 - Tsuyoshi Tanaka, Atsushi Kogiso, Yoshiaki Maeda, Tadashi Matsunaga:
Colony Fingerprinting - A Novel Method for Discrimination of Food-Contaminating Microorganisms Based on Bioimage Informatics. 1-5 - Mao-Ling Chiu, Tzu-Hsuan Yang, Tsung-Hsien Lin:
A High Accuracy Constant-On-Time Buck Converter with Spur-Free On-Time Generator. 1-4 - Lang Zeng, Zuodong Zhang, Haoxuan Chen, Tianqi Gao, Deming Zhang, Mingzhi Long, Youguang Zhang, Weisheng Zhao:
Modulation and Demodulation of Digital Frequency Shift Keying System Based on Spin Torque Nano Oscillator with Voltage Controlled Magnetic Anisotropy Effect. 1-5 - Junran Pu, Vishnu P. Nambiar, Anh-Tuan Do, Wang Ling Goh:
Block-Based Spiking Neural Network Hardware with Deme Genetic Algorithm. 1-5 - Ameya D. Patil, Sasikanth Manipatruni, Dmitri E. Nikonov, Ian A. Young, Naresh R. Shanbhag:
An Energy-Efficient Classifier via Boosted Spin Channel Networks. 1-5 - Ye Deng, Suoyi Tan, Jun Wu, Yuejin Tan:
An Efficient method to collapse the spatial networks. 1-3 - Linghui Hu, Yajun Mao, Xiongrui Xu, Zhihai Rong, Jiasheng Hao:
Analyzing Cooperation Dynamics of Group Interaction on Two Kinds of Scale-Free Networks. 1-5 - Jyotibdha Acharya, Vandana Padala, Arindam Basu:
Spiking Neural Network Based Region Proposal Networks for Neuromorphic Vision Sensors. 1-5 - N. Kollipara, Marian K. Kazimierczuk, Alberto Reatti, Fabio Corti:
Phase Control and Power Optimization of LLC Converter. 1-5 - Yihua Zhang, Zhuoqi Guo, Yang Xie, Dan Li, Shiquan Fan, Xiaoyan Gui, Li Geng:
A Stacked 4×25 Gb/s Optical Receiver in 28 nm CMOS with 0.154 mW/Gb/s Power Efficiency. 1-4 - Qin Yang, Junni Zou, Kexin Tang, Chenglin Li, Hongkai Xiong:
Single and Sequential Viewports Prediction for 360-Degree Video Streaming. 1-5 - Oindrila Chatterjee, Liang Zhou, Shantanu Chakrabartty:
Design of a Precision, Self-Powered Time-Keeping Device using Coupled Fowler-Nordheim Tunneling. 1-5 - Norberto Pérez-Prieto, Manuel Delgado-Restituto, Ángel Rodríguez-Vázquez:
Artifact-Aware Analogue/Mixed-Signal Front-Ends for Neural Recording Applications. 1-5 - José Manjarrés, Karim Dasuki, Hemel Parody, Omar Gómez, Mauricio Pardo:
Monitoring System for Kinetic Energy Harvesting in a Mobile Platform. 1-5 - Takuichi Hirano:
Electromagnetic Simulation Modeling of CMOS Chip in Millimeter-Wave Band. 1-4 - Songlin Du, Takeshi Ikenaga:
Iterative Autoencoding and Clustering for Unsupervised Feature Representation. 1-5 - Hang Hu, Calvin Y. Lee, Ahmed ElShater, Zhiyuan Dai, Fan Ye, Un-Ku Moon:
Simultaneous STF and NTF Estimation in CTΔΣ Modulators with ARMA-Model. 1-5 - Sangyeob Kim, Juhyoung Lee, Sanghoon Kang, Jinsu Lee, Hoi-Jun Yoo:
A 15.2 TOPS/W CNN Accelerator with Similar Feature Skipping for Face Recognition in Mobile Devices. 1-5 - Marco Carminati, Lorenzo Mezzera, Andrea Turolla, Gaia Pani, Marco Tizzoni, Michele Di Mauro, Manuela Antonelli:
Flexible Impedance Sensor for In-Line Monitoring of Water and Beverages. 1-4 - Byeong-Jun Bang, Hyun-jeong Kwon, Young Hwan Kim, Kyoung-Rok Cho, Hi-Seok Kim:
Statistical Modeling of Read Static Noise Margin for 6-Transistor SRAM cell. 1-4 - Wei Sun, Weike Luo, Xiongkuo Min, Guangtao Zhai, Xiaokang Yang, Ke Gu, Siwei Ma:
MC360IQA: The Multi-Channel CNN for Blind 360-Degree Image Quality Assessment. 1-5 - M. Ali Vosoughi, Selçuk Köse:
Combined Distinguishers to Enhance the Accuracy and Success of Side Channel Analysis. 1-5 - Seung Hoon Ko, Byung Duk Yang:
An Interference-Resilient 31× 15 Touch-Screen Panel Read-Out IC Based on Chirp Spread Spectrum and Pseudo Random Orthogonal Sequences. 1-5 - Omer Can Akgun, Mauro Mangia, Fabio Pareschi, Riccardo Rovatti, Gianluca Setti, Wouter A. Serdijn:
An Energy-Efficient Multi-Sensor Compressed Sensing System Employing Time-Mode Signal Processing Techniques. 1-5 - Abdelali El Aroudi, Blanca Areli Martínez-Treviño, Enric Vidal-Idiarte, Luis Martínez-Salamero:
Mitigating the Problem of Inrush Current in a Digital Sliding Mode Controlled Boost Converter Taking into Account Load and Inductor Nonlinearities and Propagation Delay in the Feedback Loop. 1-5 - Shuai Zheng, Xiao-Ping (Steven) Zhang, Jian Chen, Yonghong Kuo:
A New Compressed Sensing Based Terminal-to-Cloud Video Transmission System. 1-5 - Tommaso Addabbo, Mauro Di Marco, Ada Fort, Marco Mugnaini, Hadis Takaloo, Valerio Vignoli:
A CMOS PUF Circuit Primitive Based on a Two-Dimensional Nonlinear Dynamical System. 1-5 - Vaibhav Venugopal Rao, Ioannis Savidis:
Mesh Based Obfuscation of Analog Circuit Properties. 1-5 - Victor Gacoin, Anthony Kolar, Chengfang Ren, Régis Guinvarc'h:
Distributing Deep Neural Networks for Maximising Computing Capabilities and Power Efficiency in Swarm. 1-5 - Fulin Li, Shaohua Hong, Lin Wang:
A Novel Near Lossless Image Compression Method. 1-5 - Po-Hsuan Lee, Yuan-Pei Lin:
Hybrid MIMO-OFDM for Downlink Multi-User Communications Over Millimeter Channels with no Instantaneous Feedback. 1-5 - Rohit B. Chaurasiya, Rahul Shrestha:
Hardware-Efficient and Low Sensing-Time VLSI-Architecture of MED Based Spectrum Sensor for Cognitive Radio. 1-5 - Deruo Cheng, Yiqiong Shi, Tong Lin, Bah-Hwee Gwee, Kar-Ann Toh:
Global Template Projection and Matching Method for Training-Free Analysis of Delayered IC Images. 1-5 - Shugo Tokuda, Toru Tanzawa:
Toward a Minimum-Operating-Voltage Design of DC-DC Charge Pump Circuits for Energy Harvesting. 1-4 - Zhengran Zhang, Chongyan Gu, Yijun Cui, Chuan Zhang, Máire O'Neill, Weiqiang Liu:
Multi-Incentive Delay-Based (MID) PUF. 1-5 - Ahmet Mert Karadeniz, Ibrahim Arif, Alper Kanak, Salih Ergün:
Digital Twin of eGastronomic Things: A Case Study for Ice Cream Machines. 1-4 - Ludovic Moreau, Rémi Dekimpe, David Bol:
A 0.4V 0.5fJ/cycle TSPC Flip-Flop in 65nm LP CMOS with Retention Mode Controlled by Clock-Gating Cells. 1-4 - Yasha Karimi, Yuanfei Huang, Akshay Athalye, Samir Ranjan Das, Petar M. Djuric, Milutin Stanacevic:
Passive Wireless Channel Estimation in RF Tag Network. 1-5 - He Zhu, Xi Zhu, Yang Yang, Yichuang Sun, Viet-Hoang Le, Fan Zhang:
Design of Miniaturized On-Chip Bandpass Filters using Inverting-Coupled Structure for Millimter-Wave Applications. 1-5 - Kyle Juretus, Ioannis Savidis:
Increasing the SAT Attack Resiliency of In-Cone Logic Locking. 1-5 - Ruirui Liu, Shuguo Li:
A Design and Implementation of Montgomery Modular Multiplier. 1-4 - Darshit Mehta, Barani Raman, Shantanu Chakrabartty:
Differential Fowler-Nordheim Tunneling Dynamical System for Attojoule Sensing and Recording. 1-5 - Xinming Huang, Yuteng Zhou:
A 20 TOp/s/W Binary Neural Network Accelerator. 1-5 - Vitor G. Lima, Guilherme Paim, Leandro M. G. Rocha, Leomar S. da Rosa Jr., Felipe S. Marques, Eduardo A. C. da Costa, Vinicius V. Camargo, Rafael Soares, Sergio Bampi:
Maximizing Side Channel Attack-Resistance and Energy-Efficiency of the STTL Combining Multi-Vt Transistors with Current and Capacitance Balancing. 1-5 - Abdelrahman G. Qoutb, Eby G. Friedman:
PMTJ Temperature Sensor Utilizing VCMA. 1-5 - Koji Kotani, Shota Inoue, Takao Komiyama, Yasunori Chonan, Hiroyuki Yamaguchi:
RF Rectifier Absolute Figure of Merit Based on Relative Comparison with Reference Rectifier. 1-4 - Marcel Runge, Nima Lotfi, Friedel Gerfers:
Optimized Zero Placement within Noise Coupling Transfer Functions for Oversampled ADCs. 1-5 - Yang Wei Lim, Shaiful Jahari Hashim, Noor Ain Kamsani, Roslina Mohd Sidek, Fakhrul Zaman Rokhani:
Analysis and Modeling of ASIC Area at Early-Stage Design for Standard Cell Library Selection. 1-5 - Amany Kassem, Izzat Darwazeh:
A High Bandwidth Modified Regulated Cascode TIA for High Capacitance Photodiodes in VLC. 1-5 - Atsuki Kobayashi, Kenya Hayashi, Shigeki Arata, Shunya Murakami, Ge Xu, Kiichi Niitsu:
A 65-nm CMOS 1.4-nW Self-Controlled Dual-Oscillator-Based Supply Voltage Monitor for Biofuel-Cell-Combined Biosensing Systems. 1-5 - Dóra Babicz, Attila Tihanyi, Miklós Koller, Csaba Rekeczky, András Horváth:
Simulation of an Analogue Circuit Solving NP-Hard Optimization Problems. 1-5 - Mason Greer, Cheng Chen, Soumyajit Mandal:
Autonomous Monitoring of Fat, Water, and Sodium Content in Cheese Products using Low-Field NMR. 1-5 - Yuanfei Wang, Ping Luo, Xiao Zeng, Dingming Peng, Shaowei Zhen, Yao Qin, Bo Zhang:
A New Design Method for Solar Energy Harvesting System Based on Neural Network. 1-4 - Nanqi Liu, Randy Geiger, Degang Chen:
Bandgap Voltage VGO Extraction with Two-Temperature Trimming for Designing Sub-ppm/°C Voltage References. 1-4 - Ji-Hoon Kim, Changhyeon Kim, Kwantae Kim, Hoi-Jun Yoo:
An Ultra-Low-Power Analog-Digital Hybrid CNN Face Recognition Processor Integrated with a CIS for Always-on Mobile Devices. 1-5 - Feng Chen, Yasu Lu, Philip K. T. Mok:
Transfer Function Analysis of the Power Supply Rejection Ratio of Capacitor-Less LDOs. 1-4 - Taisuke Ono, Tomoki Shoji, Hasitha Muthumala Waidyasooriya, Masanori Hariyama, Yuichiro Aoki, Yuki Kondoh, Yaoko Nakagawa:
FPGA-Based Acceleration of Word2vec using OpenCL. 1-5 - Yu-Hsiang Chuang, Chang-Tzu Lin, Hung-Ming Chen, Chi-Han Lee, Ting-Sheng Chen:
More Effective Power Network Prototyping by Analytical and Centroid Learning. 1-5 - Bathiya Senevirathna, Sheung Lu, Nathan Renegar, Marc Dandin, Elisabeth Smela, Pamela Abshire:
System on a Chip for Automated Cell Assays using a Lab-on-CMOS Platform. 1-5 - Wangqian Chen, Mo Huang, Xin Lou:
Sparse FIR Filter Design Based on Cascaded Compensation Structure. 1-5 - Lilas Alrahis, Muhammad Yasin, Hani H. Saleh, Baker Mohammad, Mahmoud Al-Qutayri:
Functional Reverse Engineering on SAT-Attack Resilient Logic Locking. 1-5 - Lieuwe B. Leene, Timothy G. Constandinou:
A 3rd Order Time Domain Delta Sigma Modulator with Extended-Phase Detection. 1-5 - Sijie Zheng, Hongjun You, Guanghui He, Qin Wang, Tao Si, Jianfei Jiang, Jing Jin, Naifeng Jing:
A Rapid Scrubbing Technique for SEU Mitigation on SRAM-Based FPGAs. 1-5 - Angilberto M. F. Sobrinho, José Sérgio da Rocha Neto, Edmar Candeia Gurjão:
Distributed Beamforming Based on Dual-Frequency PDoA for Wireless Sensor Network. 1-5 - Jian Wu, Hongliang Zhou, Zhiyuan Liu:
Practical Static Output Feedback Control Methods for Constrained Piecewise Affine Systems: An Application in Vehicle Suspension Control. 1-5 - Jiazuo Chi, Holger Mandry, Maurits Ortmanns:
Finite Gain-Bandwidth of Q Enhancement in Bandpass CT ΣΔ Modulator and Compensation. 1-5 - Calvin Yoji Lee, Praveen Kumar Venkatachala, Ahmed ElShater, Bohui Xiao, Hang Hu, Un-Ku Moon:
Cascoded Ring Amplifiers for High Speed and High Accuracy Settling. 1-5 - Prateek Tripathi, Nicolas Moser, Pantelis Georgiou:
A Neuron-Based ISFET Array Architecture with Spatial Sensor Compensation. 1-5 - Wenhan Zheng, Xiaofang Pan, Xiaojin Zhao:
A Low Power Current Mode PUF Based on Winner-Take-All Scheme. 1-5 - Qingyuan Ding, Zhendong Zhang, Shunbin Li, Peng Liu:
Energy-Efficient RAR3 Password Recovery with Dual-Granularity Data Path Strategy. 1-5 - Irem Boybat, Cecilia Giovinazzo, Elmira Shahrabi, Igor Krawczuk, Iason Giannopoulos, Christophe Piveteau, Manuel Le Gallo, Carlo Ricciardi, Abu Sebastian, Evangelos Eleftheriou, Yusuf Leblebici:
Multi-ReRAM Synapses for Artificial Neural Network Training. 1-5 - Xiaochen Peng, Rui Liu, Shimeng Yu:
Optimizing Weight Mapping and Data Flow for Convolutional Neural Networks on RRAM Based Processing-In-Memory Architecture. 1-5 - Korkut Kaan Tokgoz, Kenichi Okada:
Millimeter-Wave CMOS Transceiver Toward 1Tbps Wireless Communication. 1-4 - Ahmed ElShater, Calvin Y. Lee, Praveen Kumar Venkatachala, Mohamed Dessouky, Un-Ku Moon:
Gm-Free Assisted Opamp Technique for Continuous Time Delta-Sigma Modulators. 1-4 - Andres Amaya, Felipe Castro, Elkim Roa:
Improving Low-Dropout Regulator Frequency Stability by Exploiting the Equivalent Series Resistor and Featuring an Adaptive Biasing Strategy. 1-5 - Suoyi Tan, Ye Deng, Jun Wu:
Cost-Effectiveness Analysis of Structural Robustness in Complex Networks. 1-5 - Mojtaba Mahdavi, Ove Edfors, Viktor Öwall, Liang Liu:
A VLSI Implementation of Angular-Domain Massive MIMO Detection. 1-5 - Peiqi Sun, Aijiao Cui:
A New Pay-Per-Use Scheme for the Protection of FPGA IP. 1-5 - Yale Wang, Chenghua Wang, Chongyan Gu, Yijun Cui, Máire O'Neill, Weiqiang Liu:
Theoretical Analysis of Delay-Based PUFs and Design Strategies for Improvement. 1-5 - Guangyi Chen, You You, Wengao Lu, Xueyou Shi, Zhongjian Chen, Yacong Zhang:
A 16-bit 64-channel 2-order Incremental Σ-Δ ADC with On-Chip Decimator for X-ray Detections. 1-5 - Jingyuan Zhan, Yangzhou Chen, Alexander Yu. Aleksandrov, Xiang Li:
Robust Distributed Model Predictive Control Based Consensus of General Linear Multi-Agent Systems. 1-5 - Marco Guermandi, Simone Benatti, Davide Brunelli, Victor Javier Kartsch, Luca Benini:
Towards a Wearable Interface for Food Quality Grading Through ERP Analysis. 1-5 - Carlos A. M. Costa Júnior, Chu Wang, Kuangyuan Ying, Zhe Chen, Miguel Dhaens, Hao Gao, Peter G. M. Baltus:
Fully Integrated Tunable Wideband True Time Delay for Wireless Sensor Networks. 1-5 - Matthias Radecker, Li Le, Ricardo Nunes Marchesan, Ricardo Diniz, Filipe Landerdahl Albanio, Fabio Ecke Bisogno:
Estimation of Energy Transfer Function Sensitivity using an Energy-Based Heuristic Operator Method. 1-5 - Hang Yuan, Wei Guo, Chip-Hong Chang, Yuan Cao, Shaojun Wei, Shouyi Yin, Chenchen Deng, Leibo Liu, Wei Ge, Fan Zhang:
A Reliable Physical Unclonable Function Based on Differential Charging Capacitors. 1-5 - Yangyang Guo, Hongyan Guo, Zhenyu Yin, Maoyuan Cui, Hong Chen:
Vehicle Lateral Stability Controller Design for Critical Running Conditions using NMPC Based on Vehicle Dynamics Safety Envelope. 1-8 - Yi Wang, Lap-Pui Chau, Xiaoxi Ma:
Airtight Estimation Based on Distant Region Segmentation. 1-5 - Lama Shaer, Rouwaida Kanj, Rajiv V. Joshi:
Data Imbalance Handling Approaches for Accurate Statistical Modeling and Yield Analysis of Memory Designs. 1-5 - Yuehan Xiong, Hongkai Xiong:
Graph-Based Descriptor Learning for Non-Rigid 3D Shapes. 1-5 - Mounia Kharbouche-Harrari, Gregory di Pendina, Romain Wacquez, Bernard Dieny, Driss Aboulkassimi, Jérémy Postel-Pellerin, Jean-Michel Portal:
Light-Weight Cipher Based on Hybrid CMOS/STT-MRAM: Power/Area Analysis. 1-5 - Amin Rashidi, Kjeld Laursen, SeyedSina Hosseini, Farshad Moradi:
An Ultrasonically Powered Optogenetic Microstimulators with Power-Efficient Active Rectifier and Charge Reuse Capability. 1-5 - Xiaona Wu, Xiao Li, Xun Tong, Rong Xie, Li Song:
Reinforcement Learning Based Adaptive Bitrate Algorithm for Transmitting Panoramic Videos. 1-5 - Bruno Willian de Souza Arruda, Edmar Candeia Gurjão, Luis F. N. M. Torres, Vanderson L. Reis, Raimundo C. S. Freire:
Estimation of Channel Delay in Analog-to-Information Converters. 1-4 - Abdul Rehman Aslam, Muhammad Awais Bin Altaf:
An 8 Channel Patient Specific Neuromorphic Processor for the Early Screening of Autistic Children through Emotion Detection. 1-5 - Hongzhi You:
Dynamic Systems Approach to Improve the Design of a Phenomenological Analog Neuron Circuit. 1-5 - Kaipeng Li, Oscar Castañeda, Charles Jeon, Joseph R. Cavallaro, Christoph Studer:
Decentralized Coordinate-Descent Data Detection and Precoding for Massive MU-MIMO. 1-5 - Shogo Nakamura, Sho Iwazaki, Koichi Ichige:
Optimum 2D Sparse Array and its Interpolation via Nuclear Norm Minimization. 1-5 - Esteban Ferro, Paula López, Víctor M. Brea, Diego Cabello:
On-Chip Solar Cell and PMU on the Same Substrate with Cold Start-Up from nW and 80 dB of Input Power Range for Biomedical Applications. 1-5 - Tao-Yi Hung, Ming-Dou Ker:
ESD Protection Design of High-Linearity SPDT CMOS T/R Switch for Cellular Applications. 1-5 - Ming-Ting Wu, Hao-Che Hsu, Ping-Hsuan Hsieh:
A Digital-to-Time Converter with Coupled Phase-Rotating LC Oscillators in 90-nm CMOS Technology. 1-4 - Jeevan Sirkunan, Nasir Shaikh-Husin, Muhammad N. Marsono:
Interleaved Incremental/Decremental Support Vector Machine for Embedded System. 1-5 - Chua-Chin Wang, Guan-Xian Liu:
A 1.5A 88.6% Li-ion Battery Charger Design using Pulse Swallow Technique in Light Load. 1-4 - Aminah Hina, Hamza Nadeem, Wala Saadeh:
A Single LED Photoplethysmography-Based Noninvasive Glucose Monitoring Prototype System. 1-5 - Ádám György Szélig, Kristóf Iván, István Kucsera, András Jozsef Laid:
Detection of Trichinella Larvae using a Microfluidic-Based Flow-Through Nematode Filter. 1-4 - Yu Sun, Lingge Jiang, Chen He, Di He, Ping Li:
Stable and Fair Quantized Notification for 5G Mobile Network. 1-5 - Nicholas T. Martin, Rajeevan Amirtharajah:
Improving SNDR and SFDR in Capacitive DACs using Match Enhancement. 1-5 - Javier Ardila, Elkim Roa:
A Novel Loop Gain Adaptation Method for Digital CDRs Based on the Cross-Correlation Function. 1-4 - Mohamed A. Mokhtar, Patrick Vogelmann, Johannes Wagner, Maurits Ortmanns:
Incremental Sturdy-MASH Sigma-Delta Modulator with Reduced Sensitivity to DAC Mismatch. 1-5 - H. T. Kung, Bradley McDanel, Sai Qian Zhang, C. T. Wang, Jin Cai, C. Y. Chen, Victor C. Y. Chang, M. F. Chen, Jack Yuan-Chen Sun, Douglas Yu:
Systolic Building Block for Logic-on-Logic 3D-IC Implementations of Convolutional Neural Networks. 1-5 - Changsok Han, Nima Maghari:
Continuous-Time Delta-Sigma Modulator with Time Domain Noise Coupling. 1-5 - Solomon Michael Serunjogi, Mustapha Ademola, Dan Cracan, Mihai Sanduleanu:
2.5GS/s, 8-9 ENOB, 8-12.7 fJ/Conversion Differential T/H Amplifier for Gigabit Radio. 1-5 - Qilin Zheng, Jian Kang, Zongwei Wang, Yimao Cai, Ru Huang, Bing Li, Yiran Chen, Hai Helen Li:
Enhance the Robustness to Time Dependent Variability of ReRAM-Based Neuromorphic Computing Systems with Regularization and 2R Synapse. 1-5 - Johan Raman, Pieter Rombouts:
A Current-Mode Floating-Bridge Technique for Closed-Loop ΣΔ Readout of Wheatstone Bridge Sensors. 1-5 - Guigang Cai, Chenchang Zhan, Yan Lu:
A Fast-Transient-Response Fully-Integrated Digital LDO with Adaptive Current Step Size Control. 1-4 - Ahmed Soltan, Pleun Maaskant, Niall Armstrong, Walid Al-Atabany, Lionel Chaudet, Mark Neil, Patrick Degenaar:
Wearable Glasses for Retinal Pigmentiosa Based on Optogenetics. 1-5 - Qian Shao, Shiwen Sun, Chengyi Xia:
A Novel Propagation Model Coupling the Offline Network with Online Social Network Framework. 1-5 - Nicola Femia, Giulia Di Capua:
On Buck-Boost Converter Power Inductor Matching. 1-5 - Lei Gao, Ling Guan:
Information Fusion via Deep Cross-Modal Factor Analysis. 1-5 - Saravana Manivannan, Shanthi Pavan:
Degradation of Alias Rejection in Continuous-Time Bandpass Delta-Sigma Converters due to Weak Loop Filter Nonlinearities. 1-5 - Patricio Pérez, Julio Torres-Tello, Seok-Bum Ko:
Low-Cost 2-D Map Generation System for a Mobile Robot. 1-5 - Masoumeh Rezaei Abkenar, Hamidreza Sadreazami, M. Omair Ahmad:
Graph-Based Salient Object Detection using Background and Foreground Connectivity Cues. 1-5 - Qiang Zhao, Xiaojin Zhao, Yuan Cao:
A Highly Reliable Physical Unclonable Function Based on 2T Voltage Reference and Diode-Clamped Comparator. 1-5 - Tore Leikanger, Juha Häkkinen:
Direct Digital Synthesis and Current Mirror Based Software Defined General Purpose Visible Light Communication Transmitter. 1-4 - Jintao Hu, Chi-Kwan Lee, Herbert Ho-Ching Lu:
Reconfigurable Wireless Power Transfer Systems for Distance Adaptation. 1-4 - Jian-Yu Lai, Chuan-Yu Liao, Jau-Ji Jou, Tien-Tsorng Shih, Po-Jui Chiang:
Design of High-Speed Optical Receiver Module for 160Gb/s NRZ and 200Gb/s PAM4 Transmissions. 1-4 - Steffen Malkowsky, Hemanth Prabhu, Liang Liu, Ove Edfors, Viktor Öwall:
A Programmable 16-Lane SIMD ASIP for Massive MIMO. 1-5 - Zhiyuan Chen, Guopei Chen, Zipeng Chen, Baoyong Chi, Guolin Li:
Transformer-Based Ultra-Wide Band 43 GHz VCO in 28 nm CMOS for FMCW Radar System. 1-5 - Quinn Brogan, Dong Sam Ha:
A Single Stage Boost Converter for Body Heat Energy Harvesting with Maximum Power Point Tracking and Output Voltage Regulation. 1-5 - Nan Chen, Jiqing Zhang, Shengyou Zhong, Wenbiao Mao, Douming Hu, Libin Yao:
Two-Dimensional Calibration for Fixed-Pattern Noise Reduction of Thermal Images. 1-5 - Shuxin Zhao, Jiahua Xu, Yongquan Hu, Wei Zhou, Sen Liu, Zhibo Chen:
How do you Perceive Differently from an AI - A Database for Semantic Distortion Measurement. 1-5 - Yu-Hao Tseng, Chung-An Shen:
The Design and Implementation of a Highly Efficient Motion Estimation Engine for HEVC Systems. 1-5 - Reza Kamali-Sarvestani, Bryce Martin, Landon Brayden:
Design and Fabrication of Ink-Jet Printed Logic Gates using SWCNT-FET for Flexible Circuit Applications. 1-5 - Fasih Ud Din Farrukh, Tuo Xie, Chun Zhang, Zhihua Wang:
A Solution to Optimize Multi-Operand Adders in CNN Architecture on FPGA. 1-4 - Georgios Papandroulidakis, Loukas Michalas, Alexander Serb, Ali Khiat, Geoff V. Merrett, Themistoklis Prodromakis:
A Digital In-Analogue Out Logic Gate Based on Metal-Oxide Memristor Devices. 1-5 - Kangbin Tu, Shuaishuai Yang, Hao Zhang, Zhuping Wang:
Hybrid A∗ Based Motion Planning for Autonomous Vehicles in Unstructured Environment. 1-4 - Deguang Wang, Junzhong Shen, Mei Wen, Chunyuan Zhang:
Towards a Uniform Architecture for the Efficient Implementation of 2D and 3D Deconvolutional Neural Networks on FPGAs. 1-5 - Liangjian Lyu, Dawei Ye, Chuanjin Richard Shi:
A 340nW/Channel Neural Recording Analog Front-End using Replica-Biasing LNAs to Tolerate 200mVpp Interfere from 350mV Power Supply. 1-4 - Ragh Kuttappa, Scott Lerner, Leo Filippini, Baris Taskin:
Low Swing - Low Frequency Rotary Traveling Wave Oscillators. 1-5 - Biao Pan, Kang Wang, Xing Chen, Jinyu Bai, Jianlei Yang, Youguang Zhang, Weisheng Zhao:
SR-WTA: Skyrmion Racing Winner-Takes-All Module for Spiking Neural Computing. 1-5 - Jaehoon Jun, Junho Kang, Suhwan Kim:
A 16 Bit Incremental ADC with Swapping DAC for Low Power Sensor Applications. 1-4 - Kai Wang, Yuan Cao, Chip-Hong Chang, Xiaoli Ji:
High-Speed True Random Number Generator Based on Differential Current Starved Ring Oscillators with Improved Thermal Stability. 1-5 - Dongyun Kam, Youngjoo Lee:
Ultra-Low-Latency Parallel SC Polar Decoding Architecture for 5G Wireless Communications. 1-5 - Maryem Benyoussef, Claude Thibeault, Yvon Savaria:
A Prediction Model for Implementing DVS in Single-Rail Bundled-Data Handshake-Free Asynchronous Circuits. 1-5 - Chiharu Umezaki, Takashi Yoshitomi, Yohei Ishikawa, Akio Shimizu, Takuro Noguchi, Sumio Fukai:
Headphone Amplifier and its Layout for Education for High School Student. 1-5 - Iosif-Angelos Fyrigos, Vasileios G. Ntinas, Georgios Ch. Sirakoulis, Andrew Adamatzky, Victor Erokhin, Antonio Rubio:
Wave Computing with Passive Memristive Networks. 1-5 - Halil Andaç Yigit, Hasan Ulusan, Salar Chamanian, Haluk Külah:
Charge Balance Circuit for Constant Current Neural Stimulation with Less than 8 nC Residual Charge. 1-5 - Tommaso Addabbo, Ada Fort, Riccardo Moretti, Marco Mugnaini, Valerio Vignoli, Miguel Garcia-Bosque:
Lightweight True Random Bit Generators in PLDs: Figures of Merit and Performance Comparison. 1-5 - Vasileios G. Ntinas, Antonio Rubio, Georgios Ch. Sirakoulis, Sorin Dan Cotofana:
A Pragmatic Gaze on Stochastic Resonance Based Variability Tolerant Memristance Enhancement. 1-5 - Charlotte Frenkel, Jean-Didier Legat, David Bol:
A 65-nm 738k-Synapse/mm2 Quad-Core Binary-Weight Digital Neuromorphic Processor with Stochastic Spike-Driven Online Learning. 1-5 - James Howe, Ayesha Khalid, Marco Martinoli, Francesco Regazzoni, Elisabeth Oswald:
Fault Attack Countermeasures for Error Samplers in Lattice-Based Cryptography. 1-5 - Aref Majdara, Saeid Nooshabadi:
Online Density Estimation Over High-Dimensional Data Streams. 1-5 - Yuming Fang, Xiangjie Sui, Jiheng Wang:
A Spatial-Temporal Weighted Method for Asymmetrically Distorted Stereo Video Quality Assessment. 1-5 - Victor da Cruz Ferreira, Alexandre Solon Nery, Leandro A. J. Marzulo, Leandro Santiago, Diego Fonseca Pereira de Souza, Brunno F. Goldstein, Felipe M. G. França, Vladimir Castro Alves:
A Feasible FPGA Weightless Neural Accelerator. 1-5 - Ning Li, Wei Xing Zheng:
Bipartite Synchronization Criterion for Coupled Neural Networks with Pining Control. 1-5 - Lu Lu, Tony Tae-Hyoung Kim:
A Sequence-Dependent Configurable PUF Based on 6T SRAM for Enhanced Challenge Response Space. 1-5 - Alvaro Velasquez, Benjamin Shaia:
Spatially Efficient In-Memory Addition Through Destructive and Non-Destructive Operations. 1-5 - Yan Liu, Lieuwe B. Leene, Timothy G. Constandinou:
An Oscillator Based Potentiostat with Switch-Cap Feedback for Current Sensing Applications. 1-4 - Mohammadhadi Danesh, Akshay Jayaraj, Sanjeev Tannirkulam Chandrasekaran, Arindam Sanyal:
0.43nJ, 0.48pJ/step Second-Order ΔΣ Current-to-Digital Converter for IoT Applications. 1-5 - Xiao Xiang, Weixin Gai, Linqi Shi, Ai He, Kai Sheng:
An 8-12GHz 0.92° Phase Error Quadrature Clock Generator Based on Two-Stage Poly Phase Filter with Intermediate Point Compensation. 1-5 - Khalid B. Mirza, Nishanth Kulasekeram, Yan Liu, Konstantin Nikolic, Christofer Toumazou:
System on Chip for Closed Loop Neuromodulation Based on Dual Mode Biosignals. 1-5 - Yanhan Zeng, Hong-Zhou Tan:
Fast-Transient DC-DC Converter using an Amplitude-Limited Error Amplifier with a Rapid Error-Signal Control. 1-5 - Samuel J. Murray, Joseph A. Schmitz, Sina Balkir, Michael W. Hoffman:
A Low Complexity Radioisotope Identification System using an Integrated Multichannel Analyzer and Embedded Neural Network. 1-5 - Wendong Mao, Jichen Wang, Jun Lin, Zhongfeng Wang:
Methodology for Efficient Reconfigurable Architecture of Generative Neural Network. 1-5 - Muhammad Swilam Ahmed, Ayman A. Fayed:
An All-Passive Emulated Ripple Control Technique for Constant-On-Time Buck Converters in CCM. 1-4 - Po-Chen Yeh, Chien-Nan Kuo:
A W-Band 6.8 mW Low-Noise Amplifier in 90 nm CMOS Technology using Noise Measure. 1-4 - Javad Ahmadi-Farsani, José M. de la Rosa:
Bulk-Input VCO-Based Sigma-Delta ADCs with Enhanced Linearity in 28-nm FD-SOI CMOS. 1-5 - Sara Hesami, Sina Rezaei Aghdam, Christian Fager, Thomas Eriksson, Ronan Farrell, John Dooley:
Single Digital Predistortion Technique for Phased Array Linearization. 1-5 - Ruochen Yao, Danping Zou, Daniele Sartori, Ling Pei, Ling Gong, Changqing Xu:
A Method of 2D Semantic Map Generation for Autonomous Flight of MAVs. 1-5 - Pablo Vera, Susana Patón, Dietmar Straeussnigg:
Idle Tones Reduction in Digital Single-Bit ΣΔ Modulators. 1-5 - Pei-Hsuan Huang, Chih-Chan Tu, Tsung-Hsien Lin:
An Area-Efficient VCO-Based Hall Sensor Readout System for Autofocus Applications. 1-5 - Mingkun Yang, Jianbo Liang, Zhuoling Xiao, Bo Yan, Liang Zhou, Shuisheng Lin, Xinchun Liu:
The Research of Stance-Phase Detection to Improve ZUPT-Aided Pedestrian Navigation System. 1-5 - Chun-Kit Cheung, Siew-Chong Tan, Chi K. Tse:
Universal Switched-Capacitor Converter for DC-DC, AC-DC, and DC-AC Applications. 1-5 - Junwen Luo, Andrew Jackson, Dimitrios Firfilionis, Patrick Degenaar, Ahmed Soltan:
A Reprogrammable Low Power Closed-Loop Optogenetic Platform for Freely Moving Animals. 1-5 - Bassant Hassan, Akram Refaei, Christian Fayomi, Mounir Boukadoum:
Perspectives on Non-Invasive Glucose Sensing using Flexible Hybrid-Printed Electronics Systems. 1-5
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.