Skip to content

Commit

Permalink
Doc Updates
Browse files Browse the repository at this point in the history
  • Loading branch information
JimLewis committed May 10, 2022
1 parent 0c1dc94 commit afa8c61
Show file tree
Hide file tree
Showing 2 changed files with 21 additions and 0 deletions.
12 changes: 12 additions & 0 deletions Overview/Osvvm4VerificationFramework.rst
Original file line number Diff line number Diff line change
Expand Up @@ -57,3 +57,15 @@ OSVVM's Structured Testbench Framework.
- :pdfdoc:`UG <Address_Bus_Model_Independent_Transactions_user_guide.pdf>`
* - OSVVM's Stream Model Independent Transactions Users Guide
- :pdfdoc:`UG <Stream_Model_Independent_Transactions_user_guide.pdf>`


Related publications and webinars
.. list-table::
:widths: 40 10
:header-rows: 1

* - Publications and Webinars
- Link
* - OSVVM: Leading Edge Verification for the VHDL Community
- `DVClub Europe April 2022 <https://www.youtube.com/watch?v=KVmGDy_PHNI>`_

9 changes: 9 additions & 0 deletions Overview/Osvvm5UtilityLibrary.rst
Original file line number Diff line number Diff line change
Expand Up @@ -72,4 +72,13 @@ OSVVM's VHDL Utility Library.
- :pdfdoc:`UG <TextUtilPkg_user_guide.pdf>`
- None

Related publications and webinars
.. list-table::
:widths: 40 10
:header-rows: 1

* - Publications and Webinars
- Link
* - OSVVM: Leading Edge Verification for the VHDL Community
- `DVClub Europe April 2022 <https://www.youtube.com/watch?v=KVmGDy_PHNI>`_

0 comments on commit afa8c61

Please sign in to comment.