Skip to content
View HenryFeng2016's full-sized avatar
  • Boston, MA

Block or report HenryFeng2016

Block user

Prevent this user from interacting with your repositories and sending you notifications. Learn more about blocking users.

You must be logged in to block users.

Please don't include any personal information such as legal names or email addresses. Maximum 100 characters, markdown supported. This note will be visible to only you.
Report abuse

Contact GitHub support about this user’s behavior. Learn more about reporting abuse.

Report abuse
Showing results

Remote control boards in a LAVA setup.

Python 21 6 Updated Jun 26, 2018

Upstream Linux Kernel Validation Project API

Python 40 27 Updated Apr 29, 2024

(DEPRECATED: check kernelci-core) LAVA CI Tools for Production KernelCI - please make pull requests against lava-ci-staging instead

Python 20 20 Updated Sep 3, 2018

The official Git repository is at https://git.openembedded.org/openembedded-core/.

BitBake 357 496 Updated Sep 19, 2024

This program converts MATLAB®/Octave figures to TikZ/pgfplots figures for smooth integration into LaTeX.

MATLAB 1,557 318 Updated Oct 23, 2023

The fundamental package for scientific computing with Python.

Python 27,573 9,869 Updated Sep 20, 2024

Scipy Cookbook

Jupyter Notebook 467 181 Updated May 14, 2024

SciPy library main repository

Python 12,912 5,142 Updated Sep 19, 2024

📷 Lens distortion correction for Python, a wrapper for lensfun

Cython 139 18 Updated Sep 7, 2024

This repository is a mirror of https://git.openwrt.org/openwrt/openwrt.git It is for reference only and is not active for check-ins. We will continue to accept Pull Requests here. They will be merg…

C 19,694 10,270 Updated Sep 19, 2024

PlutoSDR Firmware

Shell 314 191 Updated Jan 29, 2024

IIO blocks for GNU Radio

C++ 94 61 Updated Jun 30, 2023

A cross platform library for interfacing with local and remote Linux IIO devices

C 484 312 Updated Sep 17, 2024

HDL libraries and projects

Verilog 1,486 1,504 Updated Sep 19, 2024

IP Core Library - Published and maintained by the Chair for VLSI Design, Diagnostics and Architecture, Faculty of Computer Science, Technische Universität Dresden, Germany

VHDL 539 93 Updated Nov 29, 2020

OSVVM Utility Library: AlertLogPkg, CoveragePkg, RandomPkg, ScoreboardGenericPkg, MemoryPkg, TbUtilPkg, TranscriptPkg, ...

VHDL 221 57 Updated Sep 4, 2024

CoreSight trace stream decoder developed openly

C++ 139 52 Updated Aug 29, 2024

UVVM (Universal VHDL Verification Methodology) is a free and Open Source Methodology and Library for very efficient VHDL verification of FPGA and ASIC – resulting also in significant quality improv…

VHDL 359 90 Updated Sep 19, 2024

OpenEmbedded layer for latest Node.js releases

PHP 78 89 Updated Jun 9, 2020

🌊 Digital timing diagram rendering engine

JavaScript 2,922 361 Updated Apr 2, 2024

Bring data to life with SVG, Canvas and HTML. 📊📈🎉

Shell 108,462 22,873 Updated Jul 8, 2024

Python Productivity for ZYNQ

Jupyter Notebook 1,959 811 Updated Aug 26, 2024

VUnit is a unit testing framework for VHDL/SystemVerilog

VHDL 721 258 Updated Sep 16, 2024

OpenEmbedded/Yocto Project layer for for Microchip SoC (aka AT91)

BitBake 91 119 Updated Jul 25, 2024

An open source multi-function instrument for everyone

Python 1,113 413 Updated Aug 20, 2024

Red Pitaya Ecosystem and Applications

C 478 629 Updated Aug 8, 2024

cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python

Python 1,743 502 Updated Sep 18, 2024