Skip to content

PierreBizouard/cocotb

 
 

Repository files navigation

cocotb is a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python.

Documentation Status Build Status Coverity Scan Status

Quickstart

# Install pre-requisites (waveform viewer optional)
sudo yum install -y iverilog python-devel gtkwave

# Checkout git repositories
git clone https://github.com/potentialventures/cocotb.git

# Run the tests...
cd cocotb/examples/endian_swapper/tests
make

# View the waveform
gtkwave waveform.vcd

Tutorials and examples

About

Coroutine Co-simulation Test Bench

Resources

License

Stars

Watchers

Forks

Packages

No packages published

Languages

  • Python 34.0%
  • C++ 22.4%
  • C 16.7%
  • VHDL 13.3%
  • Makefile 7.7%
  • Verilog 5.3%
  • Other 0.6%