default search action
ISCAS 2013: Beijing, China
- 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013. IEEE 2013, ISBN 978-1-4673-5760-9
- Junge Shen, Tao Mei, Qi Tian, Xinbo Gao:
Image search reranking with multi-latent topical graph. 1-4 - Bo Zhang, Jiancheng Zou, Bo Xu:
Context-dependent audio-visual and temporal features fusion for TV commercial detection. 5-8 - Alexandre G. Ciancio, José F. L. de Oliveira, Felipe M. Lopes Ribeiro, Eduardo A. B. da Silva, Amir Said:
Quality perception in 3D interactive environments. 9-12 - Sen Xiang, Li Yu, Qiong Liu, Zixiang Xiong:
A gradient-based approach for interference cancelation in systems with multiple Kinect cameras. 13-16 - Li Wang, Lu Yu:
Rate-Distortion Optimization for depth map coding with distortion estimation of synthesized view. 17-20 - Yin Li, Manjusri Misra, Stefano Gregori:
Model and design considerations for multistage electrostatic microgenerators. 21-24 - Tsung-Heng Tsai, Bo-Han Song:
A self-sustaining integrated CMOS regulator for solar and UHF RFID energy harvesting systems. 25-28 - Elisenda Bou, Raymond Sedwick, Eduard Alarcón:
Maximizing efficiency through impedance matching from a circuit-centric model of non-radiative resonant wireless power transfer. 29-32 - Naser Khosro Pour, François Krummenacher, Maher Kayal:
A reconfigurable micro power solar energy harvester for ultra-low power autonomous microsystems. 33-36 - Delong Shang, Fei Xia, Alex Yakovlev:
Wide-range, reference free, on-chip voltage sensor for variable Vdd operations. 37-40 - Shanshe Wang, Siwei Ma, Li Zhang, Shiqi Wang, Debin Zhao, Wen Gao:
Multi layer based rate control algorithm for HEVC. 41-44 - Hao Zhang, Zhan Ma:
Early termination schemes for fast intra mode decision in High Efficiency Video Coding. 45-48 - Hong Zhang, Oscar C. Au, Yongfang Shi, Xingyu Zhang, Ketan Tang, Yuanfang Guo:
HEVC-based adaptive quantization for screen content by detecting low contrast edge regions. 49-52 - Guang Chen, Zhenyu Liu, Takeshi Ikenaga, Dongsheng Wang:
Fast HEVC intra mode decision using matching edge detector and kernel density estimation alike histogram generation. 53-56 - Feng Zou, Dong Tian, Anthony Vetro:
View synthesis prediction using skip and merge candidates for HEVC-based 3D video coding. 57-60 - Ying Zhang, Ali Meaamar, Yuanjin Zheng:
A cognitive radio receiver front-end IC based on spread spectrum sensing technique. 61-64 - Chamith Wijenayake, Arjuna Madanayake, Len T. Bruton, Vijay Kumar Devabhaktuni:
DOA-estimation and source-localization in CR-networks using steerable 2-D IIR beam filters. 65-68 - José Pedro Magalhães, Teofilo Monteiro, José M. N. Vieira, Roberto Gómez-García, Nuno Borges Carvalho:
Papoulis-Gerchberg Hybrid Filter Bank receiver for cognitive-/Software-Defined Radio systems. 69-72 - Liang Tang, Jude Angelo Ambrose, Sri Parameswaran:
Variable increment step based reconfigurable interleaver for multimode communication application. 73-76 - Dogu Cagdas Atilla, Cagatay Aydin, Ramazan Köprü, Tayfun Nesimoglu, B. Siddik Yarman:
A tunable inductance topology to realize frequency tunable matching networks and amplifiers. 77-80 - Håkan Johansson, Amir Eghbali:
FIR filter with variable fractional delay and phase shift: Efficient realization and design using reweighted l1-norm minimization. 81-84 - Yong Ching Lim, Chaogeng Huang, Gang Li, Hong Xu, Anthony G. Constantinides:
Error spectrum shaping approach for lattice filter roundoff noise reduction. 85-88 - Chien-Cheng Tseng, Su-Ling Lee:
Design of two-dimensional notch filter using bandpass filter and fractional delay filter. 89-92 - Oscar Gustafsson, Andreas Ehliar:
Low-complexity general FIR filters based on Winograd's inner product algorithm. 93-96 - Heng Zhao, Wen Bin Ye, Ya Jun Yu:
Sparse FIR filter design based on Genetic Algorithm. 97-100 - Lionel Torres, Raphael Martins Brum, Luis Vitório Cargnini, Gilles Sassatelli:
Trends on the application of emerging nonvolatile memory to processors and programmable devices. 101-104 - Masanori Natsui, Takahiro Hanyu, Noboru Sakimura, Tadahiko Sugibayashi:
MTJ/MOS-hybrid logic-circuit design flow for nonvolatile logic-in-memory LSI. 105-109 - Taehui Na, Kyungho Ryu, Jisu Kim, Seung-Hyuk Kang, Seong-Ook Jung:
A comparative study of STT-MTJ based non-volatile flip-flops. 109-112 - Yaojun Zhang, Lu Zhang, Yiran Chen:
MLC STT-RAM design considering probabilistic and asymmetric MTJ switching. 113-116 - Takahiro Hanyu:
Challenge of MTJ/MOS-hybrid logic-in-memory architecture for nonvolatile VLSI processor. 117-120 - Guang-Ping Xiang, Ji-Zhong Shen, Xue-Xiang Wu, Liang Geng:
Design of a low-power pulse-triggered flip-flop with conditional clock technique. 121-124 - Yu-Lin Tsou, Nai-Chen Daniel Cheng, Christina F. Jou:
A 32.4 μW RF front end for 2.4 GHz wake-up receiver. 125-128 - Xiaoxin Cui, Kaisheng Ma, Kai Liao, Nan Liao, Di Wu, Wei Wei, Rui Li, Dunshan Yu:
A Dynamic-Adjusting Threshold-Voltage Scheme for FinFETs low power designs. 129-132 - Ming-Hung Chang, Shang-Yuan Lin, Pei-Chen Wu, Olesya Zakoretska, Ching-Te Chuang, Kuan-Neng Chen, Chen-Chao Wang, Kuo-Hua Chen, Chi-Tsung Chiu, Ho-Ming Tong, Wei Hwang:
Near-/Sub-Vth process, voltage, and temperature (PVT) sensors with dynamic voltage selection. 133-136 - Yang-Guo Li, Qingyun Ma, Mohammad Rafiqul Haider, Yehia Massoud:
Ultra-low-power high sensitivity spike detectors based on modified nonlinear energy operator. 137-140 - Chang-Joon Park, Hemasundar Mohan Geddada, Aydin I. Karsilayan, José Silva-Martínez, Marvin Onabajo:
A current-mode flash ADC for low-power continuous-time sigma delta modulators. 141-144 - Yan Huang, Horst Schleifer, Dirk Killat:
A current mode 6-bit self-clocked tracking ADC with adaptive clock frequency for DC-DC converters. 145-148 - Travis Forbes, Wei-Gi Ho, Nan Sun, Ranjit Gharpurey:
A frequency-folded ADC architecture with digital LO synthesis. 149-152 - Changyi Yang, Weitao Li, Fule Li, Zhihua Wang:
A merged first and second stage for low power pipelined ADC. 153-156 - Yao Liu, Edoardo Bonizzoni, Franco Maloberti:
High-order multi-bit incremental converter with Smart-DEM algorithm. 157-160 - Zhong Zhang, Weimin Li, Wu Wen, Wei Wu, Yongfeng Li:
A configurable multi-band GNSS receiver for Compass/GPS/Galileo applications. 161-164 - Giovanni Marzin, Andrea Fenaroli, Giovanni Marucci, Salvatore Levantino, Carlo Samori, Andrea L. Lacaita:
A spur cancellation technique for MDLL-based frequency synthesizers. 165-168 - Dawei Ye, Ping Lu, Pietro Andreani, Ronan A. R. van der Zee:
A wide bandwidth fractional-N synthesizer for LTE with phase noise cancellation using a hybrid-ΔΣ-DAC and charge re-timing. 169-172 - Giovanni Marucci, Salvatore Levantino, Paolo Maffezzoni, Carlo Samori:
Minimum-jitter design of bang-bang PLLs in the presence of 1/f2 and 1/f3 DCO noise. 173-176 - Jingxue Lu, Ranjit Gharpurey:
Phase-locked loop based PWM wireless transmitter. 177-180 - Rachit Mohan, Senad Hiseni, Wouter A. Serdijn:
A highly linear, Sigma-Delta based, sub-Hz high-pass filtered ExG readout system. 181-184 - Mohammadreza Sohbati, Pantelis Georgiou, Christofer Toumazou:
REFET replication for ISFET-based SNP detection arrays. 185-188 - Yuanqi Hu, Pantelis Georgiou:
A direct-capacitive feedback ISFET interface for pH reaction monitoring. 189-192 - Shiwei Wang, Thomas Jacob Koickal, Alister Hamilton, Enrico Mastropaolo, Rebecca Cheung, Leslie S. Smith:
A floating active inductor based CMOS cochlea filter with high tunability and sharp cut-off. 193-196 - Benedikt Schlecker, Maurits Ortmanns, Jens Anders, Georg E. Fantner:
PLL-based high-speed demodulation of FM signals for real-time AFM applications. 197-200 - Dalibor Biolek, Zdenek Biolek, Viera Biolková, Zdenek Kolka:
Some fingerprints of ideal memristors. 201-204 - Alon Ascoli, Ronald Tetzlaff, Fernando Corinto, Marco Gilli:
PSpice switch-based versatile memristor model. 205-208 - Ram Kaji Budhathoki, Maheshwar Pd. Sah, Shyam Prasad Adhikari, Hyongsuk Kim:
Composite memristance of parallel and serial memristor circuits. 209-212 - Le Zheng, Sangho Shin, Sung-Mo Steve Kang:
Unified modeling for memristive devices based on charge-flux constitutive relationships. 213-216 - R. Stanley Williams, Matthew D. Pickett, John Paul Strachan:
Physics-based memristor models. 217-220 - Kan Chang, Tuanfa Qin, Wenbo Xu, Aidong Men:
A joint reconstruction algorithm for multi-view compressed imaging. 221-224 - Yongfang Shi, Oscar C. Au, Xingyu Zhang, Hong Zhang, Rui Ma, Luheng Jia:
Content based fast prediction unit quadtree depth decision algorithm for HEVC. 225-228 - Yongsheng Wang, Máire O'Neill, Fatih Kurugollu:
Partial encryption by randomized zig-zag scanning for video encoding. 229-232 - Jiali Li, Oscar C. Au, Lu Fang, Lin Sun, Wenxiu Sun, Dinuka Soysa:
A parallel deblocking filter based on H.264/AVC video coding standard. 233-236 - Chao Pang, Oscar C. Au, Jingjing Fu, Yan Lu, Shipeng Li:
Rate-distortion optimized block classification and bit allocation in screen video compression. 237-240 - Jieming Ma, Ka Lok Man, T. O. Ting, Nan Zhang, Chi-Un Lei, Ngai Wong:
A hybrid MPPT method for Photovoltaic systems via estimation and revision method. 241-244 - Jieming Ma, Ka Lok Man, T. O. Ting, Nan Zhang, Chi-Un Lei, Ngai Wong:
Low-cost global MPPT scheme for Photovoltaic systems under partially shaded conditions. 245-248 - Soumya Kundu, Ian A. Hiskens:
Distributed control of reactive power from photovoltaic inverters. 249-252 - Rajiv Damodaran Prabha, Gabriel A. Rincón-Mora:
Battery-assisted and photovoltaic-sourced switched-inductor CMOS harvesting charger-supply. 253-256 - Yuh-Jiun Wang, Szu-Lu Hsu, Teng-Yuan Cheng, Chia-Han Lee, Shao-Yi Chien:
Low-complexity feedback-channel-free distributed video coding with enhanced classifier. 257-260 - Lili Meng, Jie Liang, Upul Samarawickrama, Yao Zhao, Huihui Bai, André Kaup:
Multiple description coding with randomly offset quantizers. 261-264 - Li-Li Wang, Wan-Chi Siu:
Improved hierarchial intra prediction based on adaptive interpolation filtering for lossless compression. 265-268 - Sik-Ho Tsang, Tsz-Kwan Lee, Yui-Lam Chan, Wan-Chi Siu:
Region-based weighted prediction algorithm for H.264/AVC video coding. 269-272 - Sanchuan Guo, Zhenyu Liu, Guohong Li, Dongsheng Wang:
Content-aware write reduction mechanism of phase-change RAM based Frame Store in H.264 Video codec system. 273-276 - Yi Fang, Lin Wang, Guanrong Chen:
Performance of a multiple-access DCSK-CC system over Nakagami-m fading channels. 277-280 - Linjia Hu, Saeid Nooshabadi, Todor Mladenov:
Forward error correction with RaptorQ code on GPU. 281-284 - Farhan Bin Khalid, Shahid Masud, Momin Uppal:
Design and implementation of an ML decoder for tail-biting convolutional codes. 285-288 - Jinpeng Shen, Xin'an Wang, Bo Wang, Shan Liu, Shoucheng Li, Zhengkun Ruan, Xiangrong Zhang, Ying Cao:
Fully integrated passive UHF RFID transponder IC with a sensitivity of -12 dBm. 289-292 - Mohammed Al-Obaidi, Harshavardhan Kittur, Håkan Andersson, Viktor Öwall:
Hardware acceleration of the robust header compression (RoHC) algorithm. 293-296 - Celia Shahnaz, Shamima Najnin, Shaikh Anowarul Fattah, Wei-Ping Zhu, M. Omair Ahmad:
A detection method of nasalised vowels based on an acoustic parameter derived from phase spectrum. 297-300 - Wei-Sheng Lai, Chi-Jung Tseng, Jian-Jiun Ding:
Improved structural similarity measurement for vocal signals. 301-304 - Jun Qi, Dong Wang, Yi Jiang, Runsheng Liu:
Auditory features based on Gammatone filters for robust speech recognition. 305-308 - Jani Nurminen, Hanna Silén, Elina Helander, Moncef Gabbouj:
Evaluation of detailed modeling of the LP residual in statistical speech synthesis. 313-316 - Tai-Ji An, Jun-Sang Park, Yongmin Kim, Suk-Hee Cho, Gil-Cho Ahn, Seung-Hoon Lee:
10b 150MS/s 0.4mm2 45nm CMOS ADC based on process-insensitive amplifiers. 316- - Sébastien Le Beux, Ian O'Connor, Zhen Li, Xavier Letartre, Christelle Monat, Jelena Trajkovic, Gabriela Nicolescu:
Potential and pitfalls of silicon photonics computing and interconnect. 317-320 - Yaoyao Ye, Xiaowen Wu, Jiang Xu, Mahdi Nikdast, Zhehui Wang, Xuan Wang, Zhe Wang:
System-level analysis of mesh-based hybrid optical-electronic network-on-chip. 321-324 - Omar Hammami, Khawla Hamwi:
MHYNESYS II: Multi-stage hybrid Network on chip synthesis for Next Generation 3D IC Manycore. 325-328 - François Duport, Anteo Smerieri, Yvan Paquot, Bendix Schneider, Joni Dambre, Benjamin Schrauwen, Marc Haelterman, Serge Massar:
Recent advances in optical Reservoir Computing. 333-336 - Yinhe Han, Ying Wang, Huawei Li, Xiaowei Li:
Enabling Near-Threshold Voltage(NTV) operation in Multi-VDD cache for power reduction. 337-340 - Ye Zhang, Ralf Wunderlich, Stefan Heinen:
Low complexity image rejection demodulator for bluetooth LE applications. 341-344 - Seok Won Heo, Suk Joong Huh, Milos D. Ercegovac:
Power optimization in a parallel multiplier using voltage islands. 345-348 - Zheng Yu, Jiajie Zhang, Xueqiu Yu, Xiaoyang Zeng, Zhiyi Yu:
A low power register file with asynchronously controlled read-isolation and software-directed write-discarding. 349-352 - Weng-Geng Ho, Kwen-Siong Chong, Bah-Hwee Gwee, Joseph S. Chang:
Low power sub-threshold asynchronous QDI Static Logic Transistor-level Implementation (SLTI) 32-bit ALU. 353-356 - Abhishek Ghosh, Sudhakar Pamarti:
Mitigating timing errors in time-interleaved ADCs: A signal conditioning approach. 357-360 - Taimur Gibran Rabuske, Fabio Gibran Rabuske, Jorge R. Fernandes, Cesar Ramos Rodrigues:
A 5-bit 1.5GSps calibration-less binary search ADC using threshold reconfigurable comparators. 365-368 - Takaki Makino, Yukiko Iwata, Yutaka Jitsumatsu, Masao Hotta, Hao San, Kazuyuki Aihara:
Rigorous analysis of quantization error of an A/D converter based on β-map. 369-372 - Yun Du, Tao He, Yang Jiang, Sai-Weng Sin, Seng-Pan U., Rui Paulo Martins:
A continuous-time VCO-assisted VCO-based ΣΔ modulator with 76.6dB SNDR and 10MHz BW. 373-376 - Chun-hsiang Chang, Marvin Onabajo:
Linearization of subthreshold low-noise amplifiers. 377-380 - Syed Ahmed Aamir, Prakash Harikumar, J. Jacob Wikner:
Frequency compensation of high-speed, low-voltage CMOS multistage amplifiers. 381-384 - Boram Lee, Ted Higman:
1V rail-to-rail constant Gm amplifier with common-mode elimination technique. 385-388 - Chuan Qin, Lei Zhang, Yan Wang, Zhiping Yu, Dajie Zeng:
An inductorless wideband low noise amplifier with current reuse and linearity enhancement. 389-392 - Mostafa A. N. Haroun, Anas A. Hamoui:
A current-mirror opamp with switchable transconductances for low-power switched-capacitor integrators. 393-396 - Sheng-Cheng Lee, Tsan-Jieh Chen, Herming Chiueh:
A multi-channel multi-mode physiological signals acquisition and analysis platform. 397-400 - Ulrich Bihr, Thomas Ungru, Hongcheng Xu, Jens Anders, Joachim Becker, Maurits Ortmanns:
A bidirectional neural interface with a HV stimulator and a LV neural amplifier. 401-404 - Jiangchao Wu, Man-Kay Law, Pui-In Mak, Rui Paulo Martins:
A 1.83 μW, 0.78 μVrms input referred noise neural recording front end. 405-408 - Anh-Tuan Do, Yung Sern Tan, Gordon M. Xiong, Cleo Choong, Zhi-Hui Kong, Kiat Seng Yeo:
A current-mode stimulator circuit with two-step charge balancing background calibration. 409-412 - Kuan-Ju Huang, Wei-Yeh Shih, Jui-Chieh Liao, Wai-Chi Fang:
A VLSI design of singular value decomposition processor used in real-time ICA computation for multi-channel EEG system. 413-416 - Fernando Corinto, Alon Ascoli, Sung-Mo Steve Kang:
Memristor-based neural circuits. 417-420 - Maheshwar Pd. Sah, Changju Yang, Ram Kaji Budhathoki, Hyongsuk Kim:
Features of memristor emulator-based artificial neural synapses. 421-424 - Radu Berdan, Themistoklis Prodromakis, Ali Khiat, Iulia Salaoru, Christofer Toumazou, F. Perez-Diaz, Eleni Vasilaki:
Temporal processing with volatile memristors. 425-428 - Ute Feldmann, Torsten Schmidt, Ronald Tetzlaff:
Analysis of multi-memristor circuits. 429-432 - Maodong Li, Zhenzhong Chen, Seong-Ping Chuah, Yap-Peng Tan:
A fast rate adaptation scheme for SVC based on the packet dependencies. 433-436 - Jeonghoon Jang, Giwon Kim, Chong-Min Kyung:
Lifetime elongation of event-driven wireless video sensor networks. 437-440 - Xiang Chen, Jenq-Neng Hwang, Po-Han Wu, Hsuan-Jung Su, Chung-Nan Lee:
Adaptive mode and modulation coding switching scheme in MIMO multicasting system. 441-444 - Shoko Imaizumi:
A collusion-free key assignment scheme for hierarchical access control using recursive hash chains. 445-448 - Xiaoming Nan, Yifeng He, Ling Guan:
Optimal resource allocation for multimedia application providers in multi-site cloud. 449-452 - Moussa Reda Mansour, Luís F. C. Alberto, Rodrigo A. Ramos, Alexandre C. B. Delbem:
Identifying groups of preventive controls for a set of critical contingencies in the context of voltage stability. 453-456 - Roman Kuiava, Rodrigo A. Ramos, Luís F. C. Alberto, Hemanshu Roy Pota:
Practical stability assessement of distributed synchronous generators under load variations. 457-460 - Yan-Feng Jiang, Hsiao-Dong Chiang:
Saddle-node bifurcation in three-phase unbalanced distribution networks with distributed generators. 461-464 - Douglas Rodrigues, Luís A. M. Pereira, T. N. S. Almeida, João Paulo Papa, André N. de Souza, Caio C. O. Ramos, Xin-She Yang:
BCS: A Binary Cuckoo Search algorithm for feature selection. 465-468 - Zhen Li, Siu Chung Wong, Chi Kong Tse:
A set of independent admittance bases for decoupled analysis of unbalanced three-phase systems. 469-472 - Tsung-Yau Huang, Chieh-Kai Kao, Homer H. Chen:
Acceleration of rate-distortion optimized quantization for H.264/AVC. 473-476 - Bin Li, Jizheng Xu, Dong Zhang, Houqiang Li:
QP refinement according to Lagrange multiplier for High Efficiency Video Coding. 477-480 - Bin Li, Jizheng Xu, Houqiang Li:
Rate-distortion optimization with adaptive weighted distortion in high Efficiency Video Coding. 481-484 - Pinghua Zhao, Yanwei Liu, Jinxia Liu, Ruixiao Yao, Song Ci, Hui Tang:
Low-complexity content-adaptive Lagrange multiplier decision for SSIM-based RD-optimized video coding. 485-488 - Chen-Chou Huang, Hsu-Feng Hsiao:
Perceptual rate distortion optimization for block mode selection in hybrid video coding. 489-492 - Vianney Lapotre, Purushotham Murugappa, Guy Gogniat, Amer Baghdadi, Jean-Philippe Diguet, Jean-Noel Bazin, Michael Hübner:
Optimizations for an efficient reconfiguration of an ASIP-based turbo decoder. 493-496 - Carlos Sánchez-Azqueta, Cecilia Gimeno, Concepción Aldea, Santiago Celma, Cristina Azcona:
CMOS receiver with equalizer and CDR for short-reach optical communications. 497-500 - Hua Wang, C. H. Peng, Chao Lu, Yaopei Chang, Richard Z. Huang, Andy Chang, Genie Shih, Ray Hsu, Paul C. P. Liang, SangWon Son, Ali M. Niknejad, George Chien, Chao Long Tsai, H. C. Hwang:
A highly-efficient multi-band multi-mode digital quadrature transmitter with 2D pre-distortion. 501-504 - Yi-Mao Hsiao, Yuan-Sun Chu, Chao-Yang Chang, Chung-Hsun Huang, Hsi-Hsun Yeh:
A high throughput ASIC design for IPv6 routing lookup system. 505-508 - Jian Shi, Shimao Xiao, Yunfeng Yu, Wei Huang, Wenguang Pan, Tianchun Ye, Min Qian, Xiaofeng He, Chengyan Ma:
A 5.8GHz integrated CMOS transmitter for Chinese electronic toll collection system. 509-512 - Yannis Kopsinis, Konstantinos Slavakis, Sergios Theodoridis, Stephen McLaughlin:
Thresholding-based online algorithms of complexity comparable to sparse LMS methods. 513-516 - Md. Zulfiquar Ali Bhotto, Andreas Antoniou:
New constrained affine-projection adaptive-filtering algorithm. 517-520 - Felix Albu, Hon Keung Kwan:
New proportionate affine projection sign algorithms. 521-524 - W. Kenneth Jenkins, Chandrasekhar Radhakrishnan, D. Sova:
Special properties of the modified DFT to achieve algorithmic fault tolerance in Adaptive Filters. 525-528 - Muhammad Tahir Akhtar, Akinori Nishihara:
Acoustic feedback neutralization in digital hearing aids - A two adaptive filters-based solution. 529-532 - Sai Manoj Pudukotai Dinakarrao, Hao Yu:
Cyber-physical management for heterogeneously integrated 3D thousand-core on-chip microprocessor. 533-536 - Fabien Clermidy, Denis Dutoit, Eric Guthmuller, Ivan Miro Panades, Pascal Vivet:
3D stacking for multi-core architectures: From WIDEIO to distributed caches. 537-540 - Da-Cheng Juan, Siddharth Garg, Diana Marculescu:
Impact of manufacturing process variations on performance and thermal characteristics of 3D ICs: Emerging challenges and new solutions. 541-544 - Paul D. Franzon, Shivam Priyadarshi, Steve Lipa, W. Rhett Davis, Thorlindur Thorolfsson:
Exploring early design tradeoffs in 3DIC. 545-549 - Zhen Zhang, Shouyi Yin, Leibo Liu, Shaojun Wei:
An inductive-coupling interconnected application-specific 3D NoC design. 550-553 - Jani Mäkipää, Olivier Billoint:
FDSOI versus BULK CMOS at 28 nm node which technology for ultra-low power design? 554-557 - Robert Kappel, Mario Auer, Wolfgang Pribyl, Günter Hofer, Gerald Holweg:
A process-variation compensation scheme to operate CMOS digital logic cells in deep sub-threshold region at 80mV. 562-565 - Marius Enachescu, Mihai Lefter, Antonios Bazigos, Adrian M. Ionescu, Sorin Dan Cotofana:
Ultra low power NEMFET based logic. 566-569 - Nandish Mehta, Kofi A. A. Makinwa:
Minimum energy point tracking for sub-threshold digital CMOS circuits using an in-situ energy sensor. 570-573 - John G. Kauffman, Rudolf Ritter, Chao Chu, Maurits Ortmanns:
A DAC cell with improved ISI and noise performance using native switching for multi-bit CT Delta Sigma modulators. 574-577 - M. Reza Sadeghifar, J. Jacob Wikner:
Modeling and analysis of aliasing image spurs problem in digital-RF-converter-based IQ modulators. 578-581 - Andrea Fenaroli, Salvatore Levantino, Carlo Samori, Andrea L. Lacaita:
Background adaptive linearization of high-speed digital-to-analog Converters. 582-585 - João L. A. de Melo:
A low power 1-MHz continuous-time ΣΔM Using a passive loop filter designed with a genetic algorithm tool. 586-589 - Luis Hernández, Enrique Prefasi, Susana Patón:
A distortion corrected passive RC noise shaping ADC for biomedical applications. 590-593 - Chutham Sawigun, Amorn Jiraseree-amornkun:
A switched gain cell parametric amplifier. 594-597 - Seungwoo Jung, John D. Cressler, Jeff A. Babcock, Greg Cestra, Alan Buchholz:
A design methodology to achieve low input impedance and non-constant gain-bandwidth product in TIAs for optical communication. 598-601 - Shao Siang Ng, Kuei-Liang Lin, Ke-Horng Chen, Yu-Wen Chen:
A 94% efficiency near-constant frequency self-oscillating class-D audio amplifier with voltage control resistor. 602-605 - Vladimir M. Milovanovic, Horst Zimmermann:
A fully differential CMOS self-biased two-stage preamplifier-latch threshold detection comparator. 606-609 - Sadegh Abbasian, Thomas Johnson:
RF current mode class-D power amplifiers under periodic and non-periodic switching conditions. 610-613 - Mohammad Abu Khater, Serkan Sayilir, Byunghoo Jung:
Control methodology for on-chip switching power supplies for biomedical implants. 614-617 - Hansraj Bhamra, Pedro P. Irazoqui:
A 2-MHZ, process and voltage compensated clock oscillator for biomedical implantable SoC in 0.18-μm CMOS. 618-621 - Chao Yuan, Yvonne Y. H. Lam:
A 281-nW 43.3 fJ/conversion-step 8-ENOB 25-kS/s asynchronous SAR ADC in 65nm CMOS for biomedical applications. 622-625 - Benjamin P. Wilkerson, Jin-Ku Kang:
A low power BPSK demodulator for wireless implantable biomedical devices. 626-629 - Sebastian Rickers, Mohammad Elikaee, Zijian Bai, Christian Kocks, Guido Horst Bruck, Peter Jung:
Wireless Power Transfer H-Bridge design with serial resonance and varying supply voltage. 630-633 - Jyun-Ting Chen, Kea-Tiong Tang, Guoxing Wang:
Challenges in circuits for visual prostheses. 634-637 - Hongcheng Xu, Ulrich Bihr, Joachim Becker, Maurits Ortmanns:
A multi-channel neural stimulator with resonance compensated inductive receiver and closed-loop smart power management. 638-641 - Jun Ohta, Toshihiko Noda, Kiyotaka Sasagawa, Takashi Tokuda, Yasuo Terasawa, Hironari Kanda, Takashi Fujikado:
A CMOS microchip-based retinal prosthetic device for large numbers of stimulation in wide area. 642-645 - Md. Hasanuzzaman, Guillaume Simard, Nedialko I. Krouchev, Rabin Raut, Mohamad Sawan:
Capacitive-data links, energy-efficient and high-voltage compliant visual intracortical microstimulation system. 646-649 - Roman Graf, Ahmed Nabil Belbachir, Ross King, Manfred Mayerhofer:
Quality control of real-time panoramic views from the smart camera 360SCAN. 650-653 - Simone Casale Brunet, Marco Mattavelli, Jörn W. Janneck:
TURNUS: A design exploration framework for dataflow system design. 654 - Ching-Hwa Cheng, Sheng-Wei Hsu, Jiun-In Guo:
A low-cost scalable Voltage-Frequency Adjustor for implementing low-power systems. 655-658 - David E. Bellasi, Patrick Maechler, Andreas Burg, Norbert Felber, Hubert Kaeslin, Christoph Studer:
Live demonstration: Real-time audio restoration using sparse signal recovery. 659 - Endri Bezati, Ghislain Roquier, Marco Mattavelli:
Live demonstration: High level software and hardware synthesis of dataflow programs. 660 - Sheng-Chih Chuang, Wan-Ting Lin, Robert Rieger:
Live demonstration: Axon emulator for evaluation of nerve recording systems. 661 - Gaetano D. Gargiulo, Jonathan Tapson, André van Schaik, Alistair Lee McEwan, Aravinda Thiagalingam:
Unipolar ECG circuits: Towards more precise cardiac event identification. 662-665 - Christian Mayr, Johannes Partzsch, Marko Noack, René Schüffny:
Live demonstration: Multiple-timescale plasticity in a neuromorphic system. 666-670 - Xiangyu Zhang, Shoushun Chen:
Live demonstration: A high-speed-pass asynchronous motion detection sensor. 671 - Hong Chen, Chun Zhang, Zhihua Wang:
Live demonstration: A wireless force measurement system for total knee arthroplasty. 672 - Weiwei Shen, Qing Shang, Sha Shen, Yibo Fan, Xiaoyang Zeng:
A high-throughput VLSI architecture for deblocking filter in HEVC. 673-676 - Jia Zhu, Zhenyu Liu, Dongsheng Wang:
Fully pipelined DCT/IDCT/Hadamard unified transform architecture for HEVC Codec. 677-680 - Ke Zhu, Weidong Liu, Jiang Du:
A custom GZIP decoder for DTV application. 681-684 - Tsung-Han Tsai, Zong-Hong Li, Hsueh-Yi Lin, Li-Yang Huang:
Memory-efficient scalable video encoder architecture for multi-source digital home environment. 685-688 - Youchang Kim, Junyoung Park, Hoi-Jun Yoo:
A 34.1fps scale-space processor with two-dimensional cache for real-time object recognition. 689-692 - Cheng Huang, Lin Cheng, Philip K. T. Mok, Wing-Hung Ki:
High-side NMOS power switch and bootstrap driver for high-frequency fully-integrated converters with enhanced efficiency. 693-696 - Xiaohao Hu, Philip K. T. Mok:
Analysis and design of three-state controlled transition mode for a buck-boost converter with efficiency and stability enhancement. 697-700 - Song Xiong, Siu Chung Wong, Siew-Chong Tan:
A series of exponential step-down switched-capacitor converters and their applications in two-stage converters. 701-704 - Yongtao Geng, Dongsheng Ma:
Design of reliable 2×VDD and 3×VDD series-parallel charge pumps in nanoscale CMOS. 705-708 - Suyoung Bang, Yoonmyung Lee, Inhee Lee, Yejoong Kim, Gyouho Kim, David T. Blaauw, Dennis Sylvester:
A fully integrated switched-capacitor based PMU with adaptive energy harvesting technique for ultra-low power sensing applications. 709-712 - Zhong Liu, King To Ng, Shing-Chow Chan, Xiaowei Song:
A new multi-view articulated human motion tracking algorithm with improved silhouette extraction and view adaptive fusion. 713-716 - Chunhui Cui, Qian Zhang, King Ngi Ngan:
Object segmentation from wide baseline video. 717-720 - Heng Liu, Xuejin Chen, Jiawei Zhang, Zhefu Tu:
LSGP: Line-SIFT Geometric Pattern for wide-baseline image matching. 721-724 - Zhong Lv, Yi Xu, Guolin Li, Xiang Xie, Jun Hu, Wei Song, Zhihua Wang:
A new finger touch detection algorithm and prototype system architecture for pervasive bare-hand human computer interaction. 725-728 - William Wai-Lam Ng, Daniel Pak-Kong Lun:
Image enhancement for fringe projection profilometry. 729-732 - Johannes Sturm, Xinbo Xiang, Harald Pretl:
A 65nm CMOS wide-band LNA with continuously tunable gain from 0dB to 24dB. 733-736 - Yexin Chen, Na Yan, Jianfei Xu, Qiang Chen, Jie Sun:
Low power, high linearity multi-mode downconversion mixer for SDR. 737-740 - Seonghan Ryu, In-Chul Hwang, Ara Cho, Sangyub Lee:
Multi-band wide tuning range CMOS VCO with Hybrid Inductor for LTE standard. 741-744 - Aritra Banerjee, Abhijit Chatterjee:
An adaptive class-E power amplifier with improvement in efficiency, reliability and process variation tolerance. 745-748 - Yongan Zheng, Le Ye, Long Chen, Huailin Liao, Ru Huang:
SAW-less GNSS front-end amplifier with 80.4-dB GSM blocker suppression using CMOS directional coupler notch filter. 749-752 - Haocheng Wen, Yonghong Tian, Tiejun Huang, Wen Gao:
Single underwater image enhancement with a new optical model. 753-756 - Guang Deng:
Image enlargement using the giga-vision sensor model. 757-760 - Syed Sohaib Ali, Muhammad Mohsin Riaz, Abdul Ghafoor:
Fuzzy logic and additive wavelet based image fusion. 761-764 - Jing Liu, Xiaokang Yang, Guangtao Zhai, Li Chen:
Hybrid image interpolation with soft-decision kernel regression. 765-768 - Min-Su Kim, Hyoungwook Lee, Jin-Soo Park, Chunghee Kim, Juhyun Kang, Ken Shin, Emil Kagramanyan, Gunok Jung, Ukrae Cho, Youngmin Shin, Jae-Cheol Son:
Scan-controlled pulse flip-flops for mobile application processors. 769-772 - Chia-Hsiang Chen, Yaoyu Tao, Zhengya Zhang:
Efficient in situ error detection enabling diverse path coverage. 773-776 - Lihang Zhao, Jeff Draper:
Implementation of hybrid version management in hardware transactional memory. 777-780 - Yaohua Wang, Shuming Chen, Jianghua Wan, Kai Zhang:
Redefining the relationship between scalar and parallel units in SIMD architectures. 781-784 - Qiaoyan Yu, Drew Stock:
Collaborative error control method for sequential logic circuits. 785-788 - Neela Gopi, Jeffrey Draper:
Logic-on-logic partitioning techniques for 3-dimensional integrated circuits. 789-792 - Wei-Chang Liu, Fu-Chun Yeh, Ting-Chen Wei, Ya-Shiue Huang, Tai-Yang Liu, Shen-Jui Huang, Ching-Da Chan, Shyh-Jye Jou, Sau-Gee Chen:
A SC/HSI dual-mode baseband receiver with frequency-domain equalizer for IEEE 802.15.3c. 793-796 - Arash Ardakani, Mojtaba Mahdavi, Mahdi Shabany:
An efficient VLSI architecture of QPP interleaver/deinterleaver for LTE turbo coding. 797-800 - Hanhua Qian, Chip-Hong Chang:
Microchannel splitting and scaling for thermal balancing of liquid-cooled 3DIC. 801-804 - Sunil Rana, Tian Qin, Dinesh Pamunuwa, Daniel Grogg, Michel Despont, Yu Pu, Christoph Hagleitner:
Modelling NEM relays for digital circuit applications. 805-808 - Kentaro Yoshioka, Yosuke Toyama, Teruo Jyo, Hiroki Ishikuro:
A voltage scaling 0.25-1.8 V delta-sigma modulator with inverter-opamp self-configuring amplifier. 809-812 - Chia-Ling Chang, Jieh-Tsorng Wu:
A 1-V 100-dB dynamic range 24.4-kHz bandwidth delta-sigma modulator. 813-816 - Jose Luis Ceballos, Christian Reindl:
A low-power, ultra low-offset, 16.5-bit, ΣΔ ADC for coulomb counting and fuel gauge applications. 817-820 - Oscar Belotti, Edoardo Bonizzoni, Franco Maloberti:
Design of a third-order ΣΔ modulator with minimum op-amps output swing. 821-824 - José M. de la Rosa:
An empirical and statistical comparison of state-of-the-art sigma-delta modulators. 825-828 - Yifei Li, Nathan M. Neihart:
Mathematical analysis of inter-band intermodulation for concurrent dual-band mixers. 829-832 - Chun-Chieh Chen, Nan-Ku Lu:
Nonlinearity analysis of R-2R ladder-based current-steering digital to analog converter. 833-836 - Tao Zhang, Qiaoyan Yu:
A fully integrated video digital-to-analog converter with minimized gain error. 837-840 - Yacong Zhang, Xiaolu Chen, Zhongjian Chen, Wengao Lu:
Two-stage charge sensitive amplifier with self-biased MOS transistor as continuous reset system. 841-844 - Erik Ryman, Stefan Back Andersson, J. Riesbeck, S. Dejanovic, Anders Emrich, Per Larsson-Edefors:
A SiGe 8-channel comparator for application in a synthetic aperture radiometer. 845-848 - Muhammad Awais Bin Altaf, Jerald Yoo:
A 1.52 uJ/classification patient-specific seizure classification processor using Linear SVM. 849-852 - Amogh Vidwans, Karim Abdelhalim, Roman Genov:
Similarity-index early seizure detector VLSI architecture. 853-856 - Li-Fang Cheng, Tung-Chien Chen, Liang-Gee Chen:
Low-power multi-processor system architecture design for universal biomedical signal processing. 857-860 - Sedigheh Razmpour, Amir M. Sodagar, Milad Faizollah, Mohammad Yousef Darmani, Morteza Nourian:
Reconfigurable biological signal co-processor for feature extraction dedicated to implantable biomedical microsystems. 861-864 - Tianyi Liu, Jens Anders, Maurits Ortmanns:
System level model for transcutaneous optical telemetric link. 865-868 - Wen Gao, Ling-Yu Duan, Jun Sun, Junsong Yuan, Yonggang Wen, Yap-Peng Tan, Jianfei Cai, Alex C. Kot:
Mobile media communication, processing, and analysis: A review of recent advances. 869-872 - Tingxiao Hu, Bo Yan:
Effective retargeting for image coding. 873-876 - Yichao Jin, Xiao Liu, Yonggang Wen, Jianfei Cai:
Inter-screen interaction for session recognition and transfer based on cloud centric media network. 877-880 - Shuhui Jiang, Xueming Qian, Ke Lan, Lei Zhang, Tao Mei:
Mobile multimedia travelogue generation by exploring geo-locations and image tags. 881-884 - Ling-Yu Duan, Feng Gao, Jie Chen, Jie Lin, Tiejun Huang:
Compact descriptors for mobile visual search and MPEG CDVS standardization. 885-888 - Tae-Sun Kim, Myung Hoon Sunwoo, Sung Dae Kim:
Efficient loop accelerator for Motion Estimation Specific Instruction-set Processor. 889-892 - Yeong-Kang Lai, Yu-Chieh Chung, Yu-Fan Lai:
Hardware implementation for real-time 3D rendering in 2D-to-3D conversion. 893-896 - Leibo Liu, Yingjie Victor Chen, Shouyi Yin, Dong Wang, Xing Wang, Shaojun Wei, Li Zhou, Hao Lei, Peng Cao:
Implementation of multi-standard video decoding algorithms on a coarse-grained reconfigurable multimedia processor. 897-900 - Seungyeol Lee, Wonyong Sung:
DRAM access reduction in GPUs by thread-block scheduling for overlapped data reuse. 901-904 - Hongyuan Zhu, Jianfei Cai, Jianmin Zheng, Jianxin Wu, Nadia Magnenat-Thalmann:
Salient object cutout using Google images. 905-908 - Che-Hao Meng, Yi-Ping Su, Yu-Ping Huang, Yu-Huei Lee, Ke-Horng Chen:
94% Performance improvement by time-shift control (TSC) technique in cloud computing voltage regulator module (VRM). 909-912 - Xiuqin Wei, Tomoharu Nagashima, Hiroo Sekiya, Tadashi Suetsugu:
Effect of MOSFET parasitic capacitances on EER transmitter with class-E amplifier. 913-916 - Chih-Wei Chang, Chia-Lung Ni, Jen-Chieh Tsai, Yi-Ting Chen, Chun-Yen Chen, Ke-Horng Chen, Long-Der Chen, Cheng-Chen Yang:
High-PF and ultra-low-THD power factor correction controller by sinusoidal-wave synthesis and optimized THD control. 917-920 - Wei Fu, Siang Tong Tan, Ayman A. Fayed:
Switching and conduction loss analysis of buck converters operating in DCM-only scenarios. 921-924 - Xiaoling Xiong, Chi K. Tse, Xinbo Ruan, Meng Huang:
Bifurcation analysis in dual-input buck converter in hybrid power system. 925-928 - Ping Hu, Qi Sun, Xiangxu Meng, Jingliang Peng:
Data-driven human motion synthesis based on angular momentum analysis. 929-932 - Jinjian Wu, Weisi Lin, Guangming Shi:
Visual masking estimation based on structural uncertainty. 933-936 - Qing Yan, Yi Xu, Xiaokang Yang:
Separation of weak reflection from a single superimposed image using gradient profile sharpness. 937-940 - Bo Wu, Linfeng Xu, Guanghui Liu:
A visual attention model for news video. 941-944 - Linfeng Xu, Hongliang Li, Liaoyuan Zeng, Zhengning Wang, Guanghui Liu:
Saliency detection using a central stimuli sensitivity based model. 945-949 - Byung Moo Lee, JinHyeock Choi, Jongho Bang, Byung-Chang Kang:
An energy efficient antenna selection for large scale green MIMO systems. 950-953 - Jan Henning Mueller, Bastian Mohr, Ye Zhang, Renato Negra, Stefan Heinen:
A digital centric transmitter architecture with arbitrary ratio baseband-to-LO upsampling. 954-957 - Wei Zhou, Tughrul Arslan, Khaled Benkrid, Ahmed O. El-Rayis, Nakul Haridas:
Reconfigurable feeding network for GSM/GPS/3G/WiFi and global LTE applications. 958-961 - Xiaobao Yu, Baoyong Chi, Meng Wei, Albert Z. Wang, Tianling Ren, Zhihua Wang:
A half rate CDR with DCD cleaning up and quadrature clock calibration for 20Gbps 60GHz communication in 65nm CMOS. 962-965 - Myat Thu Linn Aung, Eric Teck Heng Lim, Takefumi Yoshikawa, Tony Tae-Hyoung Kim:
Design of self-biased fully differential receiver and crosstalk cancellation for capacitive coupled vertical interconnects in 3DICs. 966-969 - Lin Zha, Zhi Liu, Shuhua Luo, Liquan Shen:
A novel region merging based image segmentation approach for automatic object extraction. 970-973 - Gustavo Botelho de Souza, Aparecido Nilceu Marana:
HTS: A new shape descriptor based on Hough Transform. 974-977 - Ning Jiang, Jiu Xu, Wenxin Yu, Satoshi Goto:
Gradient Local Binary Patterns for human detection. 978-981 - Fanman Meng, Hongliang Li, Guanghui Liu:
Segmenting specific object based on logo detection. 986-989 - Yan Li, Jianhao Hu:
A novel implementation scheme for high area-efficient DCT based on signed stochastic computation. 990-993 - Zhenqi Wei, Peilin Liu, Cun Yu, Hongbin Zhou, Ying Ye, Ji Kong, Rendong Ying:
Optimization of ETSI DSR frontend software on a high-efficient audio DSP. 994-997 - Yuan Li, Shanghang Zhang, Huizhu Jia, Xiaodong Xie, Wen Gao:
A high-throughput low-latency arithmetic encoder design for HDTV. 998-1001 - Seongwook Park, Junyoung Park, Injoon Hong, Hoi-Jun Yoo:
A 32.8mW 60fps cortical vision processor for spatio-temporal action recognition. 1002-1005 - Pai-Tse Chiang, Tian-Sheuan Chang:
A reconfigurable inverse transform architecture design for HEVC decoder. 1006-1009 - Yuan Cao, Chip-Hong Chang, Shoushun Chen:
Cluster-based distributed active current timer for hardware Trojan detection. 1010-1013 - Yunju Choi, Jaeha Kim:
Robust random chip ID generation with wide-aperture clocked comparators and maximum likelihood detection. 1014-1017 - Linfeng Chen, Aijiao Cui:
A power-efficient scan tree design by exploring the Q'-D connection. 1018-1021 - Kaushal Kannan, Sukeshwar Kannan, Bruce C. Kim, Sang-Bock Cho:
Development of hybrid electrical model for CNT based Through Silicon Vias. 1022-1026 - Matthias Lorenz, Timon Brückner, Rudolf Ritter, Maurits Ortmanns:
Concurrent estimation of amplifier nonidealities and excess loop delay in continuous-time sigma-delta modulators. 1031-1034 - Minh-Tien Nguyen, Chadi Jabbour, Cyrius Ouffoue, Rayan Mina, Florent Sibille, Patrick Loumeau, Pascal Triaire, Van Tam Nguyen:
Direct delta-sigma receiver: Analysis, modelization and simulation. 1035-1038 - Aytac Atac, Lei Liao, Yifan Wang, Martin Schleyer, Ye Zhang, Ralf Wunderlich, Stefan Heinen:
A 1.7mW quadrature bandpass ΔΣ ADC with 1MHz BW and 60dB DR at 1MHz IF. 1039-1042 - Juan A. Torreño, Susana Patón, Luis Hernández, Enrique Prefasi, M. Presicce, G. Paoli:
A multi-stage and time-based continuous time ΣΔ Architecture using a Gated Ring Oscillator. 1043-1046 - Chongjun Ding, Yiannos Manoli, Matthias Keller:
Approaches to the implementation of noise-coupling in continuous-time Delta-Sigma modulators. 1047-1050 - David Gascon Fora, Eduardo Picatoste, Carlos Abellan Beteta, Eugeni Grauges, Lluís Garrido, Xavier Vilasís-Cardona, F. Machefert, O. Duarte, J. Lefrancois:
Analog signal processing solutions for particle physics detectors: Upgrade of the LHCb calorimeter electronics. 1051-1054 - Mohamed Hamouda, Georg Fischer, Robert Weigel, Thomas Ussmüller:
A compact analog active time delay line using SiGe BiCMOS technology. 1055-1058 - Shijun Wang, Fai Yao, Li Wang, Rui Ma, C. Zhang, Zongyu Dong, Albert Z. Wang, Zitao Shi, Yuhua Cheng, Baoyong Chi, Tianling Ren:
Design and analysis of full-chip HV ESD protection in BCD30V for mixed-signal ICs. 1059-1062 - Srijita Patra, Degang Chen, Randy Geiger:
Reliability degradation with electrical, thermal and thermal gradient stress in interconnects. 1063-1066 - Omar Abdelfattah, Ishiang Shih, Gordon W. Roberts:
A simple analog CMOS design tool using transistor dimension-independent parameters. 1067-1070 - Yushan Zheng, Cyril Jacquemod, Mohamad Sawan:
A portable lab-on-chip platform for magnetic beads density measuring. 1071-1074 - Gozen Koklu, Ralph Etienne-Cummings, Yusuf Leblebici, Giovanni De Micheli, Sandro Carrara:
Characterization of standard CMOS compatible photodiodes and pixels for Lab-on-Chip devices. 1075-1078 - Chi-Hsuan Hsieh, Yi-Hsiang Shen, Yu-Fang Chiu, Ta-Shun Chu, Yuan-Hao Huang:
Human respiratory feature extraction on an UWB radar signal processing platform. 1079-1082 - Ali Shayei, Seyed Pooya Ehsani, Mahdi Shabany:
Efficient implementation of real-time ECG derived respiration system using cubic spline interpolation. 1083-1086 - Oh-Yong Jung, Seungjin Kim, Seok-Kyun Han, Sang-Gug Lee:
A low power low inaccuracy linearity-compensated temperature sensor for attachable medical devices. 1087-1090 - Lin Ma, Chenwei Deng, King N. Ngan, Weisi Lin:
Overview of quality assessment for visual signals and newly emerged trends. 1091-1094 - Ke Gu, Guangtao Zhai, Xiaokang Yang, Wenjun Zhang:
A new reduced-reference image quality assessment using structural degradation model. 1095-1098 - Jong-Seok Lee:
Paired comparison for subjective multimedia quality assessment: Theory and practice. 1099-1102 - Manish Narwaria, Matthieu Perreira Da Silva, Patrick Le Callet, Romuald Pépion:
Adaptive contrast adjustment for postprocessing of tone mapped high dynamic range images. 1103-1106 - Judith Redi, Ingrid Heynderickx, Bruno Macchiavello, Mylène C. Q. Farias:
On the impact of packet-loss impairments on visual attention mechanisms. 1107-1110 - Aili Wang, Lianming Li, Tiejun Cui:
A transformer neutralization based 60GHz LNA in 65 nm LP CMOS with 22dB gain and 5.5dB NF. 1111-1114 - Dong-Wook Kim, Hankyu Chi, Yu-Sang Chun, Myung-Heon Chin, Gyungock Kim, Deog-Kyoon Jeong:
12.5-Gb/s analog front-end of an optical transceiver in 0.13-μm CMOS. 1115-1118 - Suman Prasad Sah, Siqi Zhu, Tai N. Nguyen, Xinmin Yu, Deuk Hyoun Heo:
A 12-40 GHz low phase variation highly linear BiCMOS variable gain amplifier. 1119-1122 - Jianfei Xu, Na Yan, Qiang Chen, Jianjun Gao, Xiaoyang Zeng:
A 3.4dB NF k-band LNA in 65nm CMOS technology. 1123-1126 - Partha Protim Dash, Glenn E. R. Cowan, Odile Liboiron-Ladouceur:
Inductorless, powerl-proportional, optical receiver front-end in TSMC 90 nm. 1127-1130 - Sam Gharavi, Babak Daneshrad:
A new, delay-line-discriminator-based, hybrid RF/digital phase noise cancellation technique. 1131-1134 - Xinping Huang, Mario Caron:
Multi-carrier LINC amplifier calibration by min-minimum criterion. 1135-1138 - Qiuzhen Lin, Kwok-Wo Wong:
Improving the error correction capability of arithmetic coding by forecasting forbidden symbols. 1139-1142 - Wenwen Wang, Saman S. Abeysekera:
Novel adaptive soft input soft output demodulator for serially concatenated CPM signals. 1143-1146 - Xu Wang, Ruey-Wen Liu, Fan He, Tao Yang, Bo Hu:
Blind identifiability of general constellations. 1147-1150 - Enqing Chen, Xiaoqiang Xiang, Xiaomin Mu:
Channel estimation for MIMO-OFDM systems based on Subspace Pursuit algorithm. 1151-1154 - Chao Zhou, Xinggong Zhang, Zongming Guo:
Adaptive channel scheduling for Scalable Video broadcasting over MIMO wireless networks. 1155-1158 - Ruiqin Xiong, Feng Wu, Jizheng Xu, Wen Gao:
Performance analysis of transform in uncoded wireless visual communication. 1159-1162 - Lei Yu, Houqiang Li, Weiping Li:
Hybrid digital-analog scheme for video transmission over wireless. 1163-1166 - Haibo Zhu, Houqiang Li:
Adaptive packet encapsulation of Scalable Video Coding bitstream. 1167-1170 - Hironobu Akita, Takahisa Yoshimoto, Hirofumi Yamamoto, Nobuaki Matsudaira, Shigeki Ohtsuka, Shinichirou Taguchi:
A 10-bit fast lock all-digital data recovery with CR oscillator reference for automotive network. 1171-1174 - Pervez M. Aziz, Amaresh V. Malipatil:
Analysis of a class of decimated clock/data recovery architectures for serial links. 1175-1178 - Shuli Geng, Ni Xu, Jun Li, Xueyi Yu, Woogeun Rhee, Zhihua Wang:
A PLL/DLL based CDR with ΔΣ frequency tracking and low algorithmic jitter generation. 1179-1182 - Unsoo Ha, Hyunwoo Cho, Hoi-Jun Yoo:
A 0.7pJ/bit 2Gbps self-synchronous serial link receiver using gated-ring oscillator for inductive coupling communication. 1183-1186 - Cecilia Gimeno, Erick Guerrero, Concepción Aldea, Santiago Celma, Cristina Azcona:
A fully-differential adaptive equalizer using the spectrum-balancing technique. 1187-1190 - Luhong Liang, King Hung Chiu, Edmund Y. Lam:
Fast single frame super-resolution using scale-invariant self-similarity. 1191-1194 - Chih-Tsung Shen, Hung-Hsun Liu, Ming-Sui Lee, Yi-Ping Hung, Soo-Chang Pei:
Spatially-varying super-resolution for HDTV. 1195-1198 - Tao Lu, Ruimin Hu, Zhen Han, Junjun Jiang, Yang Xia:
Robust super-resolution for face images via principle component sparse representation and least squares regression. 1199-1202 - Mengyan Wang, Jiaying Liu, Wei Bai, Zongming Guo:
Illumination-invariance and nonlocal means based super resolution. 1203-1206 - Cheolkon Jung, Junwei Ju:
Improving dictionary based image super-resolution with nonlocal total variation regularization. 1207-1211 - Jude Angelo Ambrose, Isuru Nawinne, Sri Parameswaran:
Latency-constrained binding of data flow graphs to energy conscious GALS-based MPSoCs. 1212-1215 - Junneng Zhang, Chao Wang, Xi Li, Xuehai Zhou:
FPGA implementation of a scheduler supporting parallel dataflow execution. 1216-1219 - Qi Zheng, Yen-Po Chen, Ronald G. Dreslinski, Chaitali Chakrabarti, Achilleas Anastasopoulos, Scott A. Mahlke, Trevor N. Mudge:
Parallelization techniques for implementing trellis algorithms on graphics processors. 1220-1223 - Chien-Te Liu, Kuan-Chung Chen, Chung-Ho Chen:
CASL hypervisor and its virtualization platform. 1224-1227 - Yin Zhen Tei, Muhammad N. Marsono, Nasir Shaikh-Husin, Yuan Wen Hau:
Network partitioning and GA heuristic crossover for NoC application mapping. 1228-1231 - Xi Li, Zongwei Zhu, Gangyong Jia, Xuehai Zhou:
Power-aware buddy system and task group scheduler. 1232-1235 - Xinmiao Zhang, Fang Cai, M. P. Anantram:
Low-energy and low-latency error-correction for phase change memory. 1236-1239 - Tzu-Hsuan Hsu, Ching-Wen Lin, Chung-Ho Chen:
Using condition flag prediction to improve the performance of out-of-order processors. 1240-1243 - Peng Wang, Guangyu Sun, Tao Wang, Yuan Xie, Jason Cong:
Designing scratchpad memory architecture with emerging STT-RAM memory technologies. 1244-1247 - Yitao Ma, Tadashi Shibata, Tetsuo Endoh:
An MTJ-based nonvolatile associative memory architecture with intelligent power-saving scheme for high-speed low-power recognition applications. 1248-1251 - Ankesh Jain, Shanthi Pavan:
Improved characterization of high speed continuous-time ΔΣ modulators using a duobinary test interface. 1252-1255 - Johannes Uhlig, Stefan Hänzsche, Johannes Gorner, René Schüffny, Thomas Reichel, Lars Gopfert:
Hybrid incremental-ΣΔ-ADC for ambient light sensing applications. 1256-1259 - Gerardo Molina Salgado, Gordana Jovanovic-Dolecek, José M. de la Rosa:
Power and area efficient comb-based decimator for Sigma-Delta ADCs with high decimation factors. 1260-1263 - Timon Brückner, Martin Kiebler, Matthias Lorenz, Christoph Zorn, Wolfgang Mathis, Maurits Ortmanns:
Calculating transfer functions of CT sigma-delta modulators with arbitrary DAC waveforms. 1264-1267 - Chao Chu, Timon Brückner, John G. Kauffman, Jens Anders, Joachim Becker, Maurits Ortmanns:
Analysis and design of high speed/high linearity continuous time delta-sigma modulator. 1268-1271 - Raul Loeches-Sanchez, Roberto Gómez-García:
A type of lumped-element-based analog filters based on transversal circuit networks. 1272-1275 - Chamith Wijenayake, Arjuna Madanayake, Yongsheng Xu, Leonid Belostotski, Len T. Bruton:
A Steerable DC-1 GHz all-pass filter-Sum RF space-time 2-D beam filter in 65 nm CMOS. 1276-1279 - Marcello De Matteis, Stefano D'Amico, Giuseppe Cocciolo, Marco De Blasi, Andrea Baschirotto:
A 54dB-DR 1-GHz-bandwidth continuous-time low-pass filter with in-band noise reduction. 1280-1283 - Tuan Anh Vu, Shanthi Sudalaiyandi, Håkon A. Hjortland, Øivind Næss, Tor Sverre Lande:
An inductorless 3-5 GHz band-pass filter with tunable center frequency in 90 nm CMOS. 1284-1287 - José María Algueta-Miguel, Antonio J. López-Martín, Jaime Ramírez-Angulo, Ramón González Carvajal:
Improved technique for continuous tuning of CMOS transconductor. 1288-1291 - Rajkumar Chinnakonda Kubendran, Sunyoung Kim, Refet Firat Yazicioglu:
Error correction algorithm for high accuracy bio-impedance measurement in wearable healthcare applications. 1292-1295 - Shin-Chi Lai, Wei-Che Chien, Chien-Sheng Lan, Meng-Kun Lee, Ching-Hsing Luo, Sheau-Fang Lei:
An efficient DCT-IV-based ECG compression algorithm and its hardware accelerator design. 1296-1299 - Yibin Hong, Zhixiong Xie, Yong Lian:
Wireless wearable ECG sensor design based on level-crossing sampling and linear interpolation. 1300-1303 - Zhiping Lin, Yau Wong, Raimund J. Ober:
Influence of prior knowledge on the accuracy limit of parameter estimation in single-molecule fluorescence microscopy. 1304-1307 - Shaikh Anowarul Fattah, Abul Barkat Mollah Sayeed Ud Doulah, Md. Asif Iqbal, Celia Shahnaz, Wei-Ping Zhu, M. Omair Ahmad:
Identification of motor neuron disease using wavelet domain features extracted from EMG signal. 1308-1311 - Zhijing Yang, Bingo Wing-Kuen Ling, Chris Bingham:
Extracting underlying trend and predicting power usage via joint SSA and sparse binary programming. 1312-1315 - Ian Laird, Dylan Dah-Chuan Lu:
Steady state reliability of maximum power point tracking algorithms used with a thermoelectric generator. 1316-1319 - Fei Luo, Yuk-Ming Lai, Ka Hong Loo, Chi Kong Tse, Xinbo Ruan:
A generalized droop-control scheme for decentralized control of inverter-interfaced microgrids. 1320-1323 - Reham Haroun, Abdelali El Aroudi, Angel Cid-Pastor, Luis Martínez-Salamero:
Stability issues in cascade connected switching converters for DC microgrid applications. 1324-1327 - Shih-Ming Chen, Tsorng-Juu Liang, Yong-Hong Huang:
A isolated bidirectional interleaved flyback converter for battery backup system application. 1328-1331 - Fang Cai, Xinmiao Zhang, David Declercq, Bane Vasic, Dung Viet Nguyen, Shiva Kumar Planjery:
Low-complexity finite alphabet iterative decoders for LDPC codes. 1332-1335 - Leixin Zhou, Jin Sha, Yun Chen, Zhongfeng Wang:
Memory efficient EMS decoding for non-binary LDPC codes. 1336-1339 - Guohui Wang, Aida Vosoughi, Hao Shen, Joseph R. Cavallaro, Yuanbin Guo:
Parallel interleaver architecture with new scheduling scheme for high throughput configurable turbo decoder. 1340-1343 - Jewong Yeon, Hanho Lee:
High-performance iterative BCH decoder architecture for 100 Gb/s optical communications. 1344-1347 - Chenrong Xiong, Zhiyuan Yan:
Low-complexity layered iterative hard-reliability-based majority-logic decoder for non-binary quasi-cyclic LDPC codes. 1348-1351 - Jeevan K. Pant, Wu-Sheng Lu, Andreas Antoniou:
A new algorithm for compressive sensing based on total-variation norm. 1352-1355 - Valerio Cambareri, Salvador Javier Haboba, Fabio Pareschi, Riccardo Rovatti, Gianluca Setti, Kwok-Wo Wong:
A two-class information concealing system based on compressed sensing. 1356-1359 - Valerio Cambareri, Mauro Mangia, Fabio Pareschi, Riccardo Rovatti, Gianluca Setti:
A rakeness-based design flow for Analog-to-Information conversion by Compressive Sensing. 1360-1363 - Lili Yi, Sirajudeen Gulam Razul, Zhiping Lin, Chong Meng Samson See:
Gating and robust EKF based target tracking in mixed LOS/NLOS environments. 1364-1367 - Hsuan-Tsung Wang, Suvradip Ghosh, Walter D. Leon-Salas:
Compressive sensing recovery from non-ideally quantized measurements. 1368-1371 - Bin Peng, Dandan Ding, Xingguo Zhu, Lu Yu:
A hardware CABAC encoder for HEVC. 1372-1375 - Sang Yoon Park, Pramod Kumar Meher:
Flexible integer DCT architectures for HEVC. 1376-1379 - Wei Wang, Xinming Huang:
An FPGA co-processor for adaptive lane departure warning system. 1380-1383 - Simone Casale Brunet, Marco Mattavelli, Jörn W. Janneck:
Buffer optimization based on critical path analysis of a dataflow program design. 1384-1387 - Chong Wang, Shing-Chow Chan:
A new bandwidth adaptive non-local kernel regression algorithm for image/video restoration and its GPU realization. 1388-1391 - Jeongmin Yang, Young-Ju Kim, Lee-Sup Kim:
A 7 mW 2.5 GHz spread spectrum clock generator using switch-controlled injection-locked oscillator. 1392-1395 - Elkim Roa, Byunghoo Jung:
A 40Gb/s 860μW single-phase 4: 1 multiplexer in 45nm CMOS. 1396-1399 - Yikui Jen Dong, Freeman Zhong:
A self-calibrating multi-VCO PLL scheme with leakage and capacitive modulation mitigations. 1400-1403 - Pang-Kai Liu, Szu-Yao Hung, Chang-Yi Liu, Min-Han Hsieh, Charlie Chung-Ping Chen:
A 52 dBc MTPR line driver for powerline communication HomePlug AV standard in 0.18-μm CMOS technology. 1404-1407 - Yaming Zhang, Weixin Gai:
SSC tracking analysis and a deeper-SSC estimator. 1408-1411 - Nelson C. Francisco, Nuno M. M. Rodrigues, Eduardo A. B. da Silva, Murilo B. de Carvalho, Sérgio M. M. de Faria:
Video compression using 3D multiscale recurrent patterns. 1412-1415 - Yong Guo, Zhiyong Gao, Li Chen, Xiaoyun Zhang:
Effective early termination using adaptive search order for frame rate up-conversion. 1416-1419 - Lingfeng Xu, Oscar C. Au, Wenxiu Sun, Lu Fang, Ketan Tang, Jiali Li, Yuanfang Guo:
Stereo matching by adaptive weighting selection based cost aggregation. 1420-1423 - Jinlei Zhang, Houqiang Li:
Line-based distributed coding scheme for onboard lossless compression of high-resolution stereo images. 1424-1427 - Ruobing Zou, Oscar C. Au, Guyue Zhou, Wei Dai, Wei Hu, Pengfei Wan:
Personal photo album compression and management. 1428-1431 - Hiroaki Igarashi, Youhua Shi, Masao Yanagisawa, Nozomu Togawa:
Concurrent faulty clock detection for crypto circuits against clock glitch based DFA. 1432-1435 - Songping Mai, Chunhong Li, Yixin Zhao, Chun Zhang, Zhihua Wang:
A high-performance low-power SoC for mobile one-time password applications. 1436-1439 - Chung-Hsien Chang, Shi-Huang Chen, Bo-Wei Chen, Chih-Hsiang Peng, Jhing-Fa Wang:
High-efficient hardware design based on enhanced Tschirnhaus transform for solving the LSPs. 1440-1443 - Le Zhang, Zhi-Hui Kong, Chip-Hong Chang:
PCKGen: A Phase Change Memory based cryptographic key generator. 1444-1447 - Nasim Farahini, Shuo Li, Muhammad Adeel Tajammul, Muhammad Ali Shami, Guo Chen, Ahmed Hemani, Wei Ye:
39.9 GOPs/watt multi-mode CGRA accelerator for a multi-standard basestation. 1448-1451 - Kaya Can Akyel, Lorenzo Ciampolini, Olivier Thomas, Bertrand Pelloux-Prayer, Shishir Kumar, Philippe Flatresse, Christophe Lecocq, Gérard Ghibaudo:
Multiple-pulse dynamic stability and failure analysis of low-voltage 6T-SRAM bitcells in 28nm UTBB-FDSOI. 1452-1455 - Anh-Tuan Do, Karthik G. Jayaraman, Vincent Pott, Chua Geng Li, Pushpapraj Singh, Kiat Seng Yeo, Tony Tae-Hyoung Kim:
An improved read/write scheme for anchorless NEMS-CMOS non-volatile memory. 1456-1459 - Adam Makosiej, Olivier Thomas, Amara Amara, Andrei Vladimirescu:
CMOS SRAM scaling limits under optimum stability constraints. 1460-1463 - Takayuki Kubota, Minoru Watanabe:
0.18 μm CMOS process photodiode memory. 1464-1467 - Chi-Shin Chang, Hao-I Yang, Wei-Nan Liao, Yi-Wei Lin, Nan-Chun Lien, Chien-Hen Chen, Ching-Te Chuang, Wei Hwang, Shyh-Jye Jou, Ming-Hsien Tu, Huan-Shun Huang, Yong-Jyun Hu, Paul-Sen Kan, Cheng-Yo Cheng, Wei-Chang Wang, Jian-Hao Wang, Kuen-Di Lee, Chia-Cheng Chen, Wei-Chiang Shih:
A 40nm 1.0Mb pipeline 6T SRAM with variation-tolerant Step-Up Word-Line and Adaptive Data-Aware Write-Assist. 1468-1471 - Zhe Hua, Hoi Lee, Xiwen Zhang:
An auto-reconfigurable dual-output SC DC-DC regulator with sub-harmonic fixed on-time control for energy-harvesting applications. 1472-1475 - Charalambos M. Andreou, Julius Georgiou:
An all-subthreshold, 0.75V supply, 2ppm/°C, CMOS Voltage Reference. 1476-1479 - Edward K. F. Lee:
A low voltage low output impedance CMOS bandgap voltage reference. 1480-1483 - Alireza Saberkari, Rasoul Fathipour, Herminio Martínez, Alberto Poveda, Eduard Alarcón:
Output-capacitorless CMOS LDO regulator based on high slew-rate current-mode transconductance amplifier. 1484-1487 - Kuan Chuang Koay, Sau Siong Chong, Pak Kwong Chan:
A FVF based output capacitorless LDO regulator with wide load capacitance range. 1488-1491 - Wasim Hussain, Yvon Savaria, Yves Blaquière:
An interface for the I2C protocol in the WaferBoard™. 1492-1495 - Siyu Yang, Deping Huang, Xiaoke Wen, Lei Chen, Jinghong Chen:
A radiation-hardened DLL with fine resolution and DCC for DDR2 memory interface in 0.13 μm CMOS. 1496-1499 - Alan Pun, Jeff Wong, Gigi Chan, William Wong, David Kwong, K. C. Wang:
A 0.3mm2 60μW 11.2b ENOB signal acquisition ASIC for resistive bridge sensors. 1500-1503 - Jack Shiah, Shahriar Mirabbasi:
A 5-V 555-μW 0.8-μm CMOS MEMS capacitive sensor interface using correlated level shifting. 1504-1507 - Cristina Azcona, Belén Calvo, Santiago Celma, Nicolás J. Medrano-Marqués, Pedro A. Martínez:
A low-power ratiometric single/differential quasi-digital converter. 1508-1511 - Yiwu Yao, Yuhua Cheng:
High effective medical image segmentation with model adjustable method. 1512-1515 - Salim Lahmiri, Mounir Boukadoum:
Lobe asymmetry-based automatic classification of brain magnetic resonance images. 1516-1519 - Jie Zhang, Yuanming Suo, Srinjoy Mitra, Sang (Peter) Chin, Trac D. Tran, Refet Firat Yazicioglu, Ralph Etienne-Cummings:
Reconstruction of neural action potentials using signal dependent sparse representations. 1520-1523 - Zening Fu, Zhiguo Zhang, Shing-Chow Chan:
Estimation of time-varying autocorrelation and its application to time-frequency analysis of nonstationary signals. 1524-1527 - Chin-Teng Lin, Chun-Hsiang Chuang, Chih-Sheng Huang, Yen-Hsuan Chen, Li-Wei Ko:
Real-time assessment of vigilance level using an innovative Mindo4 wireless EEG system. 1528-1531 - Jiao-Jiao Deng, Tian-Qi Zhao, Hsiao-Dong Chiang, Yong Tang, Yi Wang:
Convergence regions of Newton method in power flow studies: Numerical studies. 1532-1535 - Tian-Qi Zhao, Jiao-Jiao Deng, Kaoru Koyanagi:
Homotopy-enhanced power flow methods for general distribution networks with distributed generators. 1536-1539 - Jian-Hong Liu, Jia-Long Shyu, Chia-Chi Chu:
Probabilistic load margins of power systems embedded with wind farms. 1540-1543 - Chika O. Nwankpa, Juan C. Jiménez, Sachi Jayasuriya:
Modeling and simulation of information-embedded multi-converter power systems. 1544-1547 - Luís F. C. Alberto, Warut Suampun, Hsiao-Dong Chiang:
Towards development of a CUEP method for Network-preserving power system models. 1548-1551 - Min Yin, Menwang Xie, Bo Yi:
Optimized algorithms for binary BCH codes. 1552-1555 - Mohamed T. A. Osman, Hossam A. H. Fahmy, Yasmine A. H. Fahmy, Maha Elsabrouty:
Two programmable BCH soft decoders for high rate codes with large word length. 1556-1559 - Wei Zhang, Jing Wang, Xinmiao Zhang:
Low-power design of Reed-Solomon encoders. 1560-1563 - Reza Meraji, S. M. Yasser Sherazi, John B. Anderson, Henrik Sjöland, Viktor Öwall:
Analog and digital approaches for an energy efficient low complexity channel decoder. 1564-1567 - Akira Tanigawa, Minoru Watanabe:
Dependability-increasing technique for a multi-context optically reconfigurable gate array. 1568-1571 - Hao Gao, Yan Wu, Marion K. Matters-Kammerer, Jean-Paul M. G. Linnartz, Arthur H. M. van Roermund, Peter G. M. Baltus:
System analysis and energy model for radio-triggered battery-less monolithic wireless sensor receiver. 1572-1575 - Yuki Urano, Won-Joo Yun, Tadahiro Kuroda, Hiroki Ishikuro:
A 1.26mW/Gbps 8 locking cycles versatile all-digital CDR with TDC combined DLL. 1576-1579 - Hamed Rafiei Karkvandi, Efraim Pecht, Orly Yadid-Pecht:
Improved lifetime routing for Wireless Sensor Networks. 1580-1583 - Tongning Hu, Bo Wang, Shan Liu, Yi Peng, Jinghai Zhang, Jinpeng Shen, Xin'an Wang:
A super-regenerative pulsed UWB receiver combined with injection-locking. 1584-1587 - Xiangrong Zhang, Xiaole Cui, Bo Wang, Chung Len Lee:
A UWB mixer with a balanced wide band active balun using crossing centertaped inductor. 1588-1591 - Runchun Wang, Gregory Cohen, Tara Julia Hamilton, Jonathan Tapson, André van Schaik:
An improved aVLSI axon with programmable delay using spike timing dependent delay plasticity. 1592-1595 - Damien Querlioz, Vincent Trauchessec:
Stochastic resonance in an analog current-mode neuromorphic circuit. 1596-1599 - Junjie Lu, Jeremy Holleman:
A floating-gate analog memory with bidirectional sigmoid updates in a standard digital process. 1600-1603 - Takuya Inoue, Yoko Uwate, Yoshifumi Nishio:
Genetic Algorithm with virus infection for finding approximate solution. 1604-1607 - Kyuho Jason Lee, Junyoung Park, Gyeonghoon Kim, Injoon Hong, Hoi-Jun Yoo:
A multi-modal and tunable Radial-Basis-Funtion circuit with supply and temperature compensation. 1608-1611 - Benoit Larras, Cyril Lahuec, Matthieu Arzel, Fabrice Seguin:
Analog implementation of encoded neural networks. 1612-1615 - Gwendal Lecerf, Jean Tomas, Sylvain Saïghi:
Excitatory and Inhibitory Memristive Synapses for Spiking Neural Networks. 1616-1619 - Sung Sik Woo, Rahul Sarpeshkar:
A spiking-neuron collective analog adder with scalable precision. 1620-1623 - Giovanny Sánchez, Thomas Jacob Koickal, T. A. Athul Sripad, Luiz Carlos Gouveia, Alister Hamilton, Jordi Madrenas:
Spike-based analog-digital neuromorphic information processing system for sensor applications. 1624-1627 - Ayesa Parvin, Majid Ahmadi, Roberto Muscedere:
Application of neural networks with CSD coefficients for human face recognition. 1628-1631 - Li Zhang, Ying Chen, Marta Karczewicz:
Disparity vector based advanced inter-view prediction in 3D-HEVC. 1632-1635 - Zhijie Zhao, Junyong Si, Jörn Ostermann, Weiping Li:
Inter-layer intra mode coding for the scalable extension of HEVC. 1636-1639 - Pai-Tse Chiang, Tian-Sheuan Chang:
Fast zero block detection and early CU termination for HEVC Video Coding. 1640-1643 - Lei Liu, Anhong Wang, Kongfen Zhu, Chunyu Lin, Yao Zhao:
Directional block compressed sensing for image coding. 1644-1647 - Lei Sun, Zhenyu Liu, Takeshi Ikenaga:
A mode-mapping and optimized MV conjunction based MGS-scalable SVC to AVC IPPP transcoder. 1648-1651 - Ming-e Jing, Zhiyi Yu, Xiaoyang Zeng, Liyang Zhou:
Time-Division-Multiplexer based routing algorithm for NoC system. 1652-1655 - Ming-e Jing, Zhiyi Yu, Xiaoyang Zeng, Jiayi Sheng, Haofan Yang:
Implementation and optimization of 3780-point FFT on multi-core system. 1656-1659 - Kun-Chih Chen, Che-Chuan Kuo, Hui-Shun Hung, An-Yeu Andy Wu:
Traffic- and Thermal-aware Adaptive Beltway Routing for three dimensional Network-on-Chip systems. 1660-1663 - Mateus Beck Rutzig, Antonio Carlos Schneider Beck, Luigi Carro:
A run-time adaptive multiprocessor system. 1664-1667 - Wenjun Zhao, Takao Onoye, Tian Song:
High-performance multiplierless transform architecture for HEVC. 1668-1671 - Khaled Salah, Alaa B. El-Rouby, Hani F. Ragai, Yehea I. Ismail:
TSV-based on-chip inductive coupling communications. 1672-1675 - Sami Smaili, Yehia Massoud:
Differential pair sense amplifier for a robust reading scheme for memristor-based memories. 1676-1679 - Yangyang Tang, Emmanuel Boutillon, Chris Winstead, Christophe Jégo, Michel Jézéquel:
Muller C-element based Decoder (MCD): A decoder against transient faults. 1680-1683 - Reum Oh, Ji-Woong Jang, Man Young Sung:
Design and verification of an all-digital on-chip process variation sensor. 1684-1687 - Jun Lin, Zhiyuan Yan:
A decoding algorithm with reduced complexity for non-binary LDPC codes over large fields. 1688-1691 - Dongsheng Yu, Hao Chen, Herbert Ho-Ching Iu:
A meminductive circuit based on floating memristive emulator. 1692-1695 - Ming Zhang, Nicolas Llaser, Xusheng Wang, Francis Rodes, Romain Denieport:
New implementation of time domain measurement of quality factor. 1700-1703 - Lingwei Zhang, Hanjun Jiang, Fule Li, Jingjing Dong, Zhihua Wang:
A LUT-free DC offset calibration method for removing the PGA-gain-correlated offset residue. 1704-1707 - Marco De Blasi, Mino Pierri, Stefano D'Amico, Marcello De Matteis, Andrea Baschirotto, A. Bau, A. Passerini, M. Gervasi, Mario Zannoni:
A monolithic CMOS automatic biasing system for 40GHz multistage HEMT. 1708-1711 - Li Ding, Jing Wang, Zhangcai Huang, Atsushi Kurokawa, Yasuaki Inoue:
An analytical model of the overshooting effect for multiple-input gates in nanometer technologies. 1712-1715 - Sheng-Jhih Jiang, Tsung-Yi Ho:
A rapid analog amendment framework using the incremental floorplanning technique. 1716-1719 - Jin-Tai Yan, Zhi-Wei Chen:
Post-layout redundant wire insertion for fixing min-delay violations. 1720-1723 - Sieng Wong, Chia Yee Ooi, Yuan Wen Hau, Muhammad N. Marsono, Nasir Shaikh-Husin:
Feasible transition path generation for EFSM-based system testing. 1724-1727 - Martin Dubois, Mounir Boukadoum:
Rules maps for scheduling algorithm knowledge. 1728-1731 - Bo Huang, Song Chen, Wei Zhong, Takeshi Yoshimura:
Topology-aware floorplanning for 3D application-specific Network-on-Chip synthesis. 1732-1735 - Kazushi Kawamura, Sho Tanaka, Masao Yanagisawa, Nozomu Togawa:
A partial redundant fault-secure high-level synthesis algorithm for RDR architectures. 1736-1739 - Mo Xia, Ming Jin, Guiming Luo:
Automatic verification of transition systems with unspecified components. 1740-1744 - Nan Wang, Song Chen, Yuhuan Sun, Takeshi Yoshimura:
Mobility overlap-removal based leakage power aware scheduling in high-level synthesis. 1745-1748 - Yujie Liang, Rendong Ying, Peilin Liu:
Efficient middleware for network evaluation and optimization in Wireless Sensor Network design. 1749-1752 - Giovanni Marucci, Salvatore Levantino, Paolo Maffezzoni, Carlo Samori:
An efficient method to compute phase-noise in injection-locked frequency dividers. 1753-1756 - Xiaotie Wu, Chengjie Zuo, Milin Zhang, Jan Van der Spiegel, Gianluca Piazza:
A 47μW 204MHz AlN Contour-Mode MEMS based tunable oscillator in 65nm CMOS. 1757-1760 - Carlos Sánchez-Azqueta, Cecilia Gimeno, Concepción Aldea, Santiago Celma, Cristina Azcona:
Bang-bang phase detector model revisited. 1761-1764 - Shahaboddin Moazzeni, Glenn E. R. Cowan, Mohamad Sawan:
A mismatch-robust period-based VCO frequency comparison technique for ULP receivers. 1765-1768 - Victor Hugo Carbajal-Gomez, Esteban Tlelo-Cuautle, Rodolfo Trejo-Guerra, Jesús M. Muñoz-Pacheco:
Simulating the synchronization of multi-scroll chaotic oscillators. 1773-1776 - Min Xiao, Wei Xing Zheng:
On oscillatory dynamics of small-RNAs-mediated two-gene regulatory networks. 1777-1780 - Yoko Uwate, Yoshifumi Nishio:
Frustrated synchronization in two coupled polygonal oscillatory networks. 1781-1784 - Rodrigo Eduardo Rottava, S. Carlyle Camara, Fernando Rangel de Sousa, Robson Nunes de Lima:
Ultra-low-power 2.4 GHz Colpitts oscillator based on double feedback technique. 1785-1788 - Tingyuan Nie, Yansheng Li, Lijian Zhou, Masahiko Toyonaga:
A multilevel fingerprinting method for FPGA IP protection. 1789-1792 - Yu Ren, Leibo Liu, Shouyi Yin, Qinghua Wu, Shaojun Wei, Jie Han:
A VLSI architecture for enhancing the fault tolerance of NoC using quad-spare mesh topology and dynamic reconfiguration. 1793-1796 - Duc-Hung Le, Tran Bao Thuong Cao, Katsumi Inoue, Cong-Kha Pham:
A fast CAM-based image matching system on FPGA. 1797-1800 - Jithin Sankar Sankaran Kutty, Farid Boussaïd, Abbes Amira:
A high speed configurable FPGA architecture for k-mean clustering. 1801-1804 - Ahmed Shaharyar Khwaja, Xiao-Ping (Steven) Zhang:
Compressed sensing SAR moving target imaging in the presence of basis mismatch. 1809-1812 - Ketan J. Pol, Hans Hegt, Sotir Ouzounov:
Sigma delta feedback DAC architectures for high accuracy and extremely low charge transfer. 1813-1816 - Jorge Fernández-Berni, Ricardo Carmona-Galán, Ángel Rodríguez-Vázquez:
An ultra-low-power voltage-mode asynchronous WTA-LTA circuit. 1817-1820 - Yingkan Lin, Perena Gouma, Milutin Stanacevic:
A low-power wide-dynamic-range readout IC for breath analyzer system. 1821-1824 - Junlin Chen, Dong Zhao, Lei Wang:
Link and energy adaptive UWB-based embedded sensing with renewable energy. 1825-1828 - Hossein Kassiri, M. Jamal Deen:
Slew-rate enhancement for a single-ended low-power two-stage amplifier. 1829-1832 - Andrew P. Nicholson, Julian Jenkins, Astria Nur Irfansyah, Nonie Politi, André van Schaik, Tara Julia Hamilton, Torsten Lehmann:
A 0.3mm2 10-b 100MS/s pipelined ADC using Nauta structure op-amps in 180nm CMOS. 1833-1836 - Hugo Serra, Nuno Paulino, João Goes:
A switched-capacitor biquad using a simple quasi-unity gain amplifier. 1841-1844 - Nan Qi, Zheng Song, Baoyong Chi, Albert Z. Wang, Tianling Ren, Zhihua Wang:
A multi-mode complex bandpass filter with gm-assisted power optimization and I/Q calibration. 1845-1848 - Xi Zhu, Chirn Chye Boon, Ayobami Iji, Yichuang Sun, Michael Heimlich:
A low-noise amplifier with continuously-tuned input matching frequency and output resonance frequency. 1849-1852 - Yao Liu, Wouter A. Serdijn:
An LNA with optimally mismatched antenna interface for energy harvesting sensor nodes. 1853-1856 - Wenting Zhou, Jan M. Rabaey:
Energy detection technique for ultra-low power high sensitivity wake-up receiver. 1857-1860 - Te-Wen Liao, Jun-Ren Su, Chung-Chih Hung:
Ring-VCO based low noise and low spur frequency synthesizer. 1861-1864 - Federico Bizzarri, Angelo Brambilla, Giambattista Gruosso, Giancarlo Storti Gajani:
Time domain probe insertion to find steady state of strongly nonlinear high-Q oscillators. 1865-1868 - Will X. Y. Li, Ray C. C. Cheung, Rosa H. M. Chan, Dong Song, Theodore W. Berger:
A reconfigurable architecture for real-time prediction of neural activity. 1869-1872 - Kyomuk Lim, Jindeok Seo, Changho Seok, Hyoungho Ko:
A 16-channel neural stimulator with DAC sharing scheme for visual prostheses. 1873-1876 - Marijn N. van Dongen, Wouter A. Serdijn:
A switched-mode multichannel neural stimulator with a minimum number of external components. 1877-1880 - Xiao Liu, Andreas Demosthenous, Dai Jiang, Nick Donaldson:
Design of an implantable stimulator ASIC with self-adapting supply. 1881-1884 - Hosung Chun, Omid Kavehei, Nhan Tran, Stan Skafidas:
A flexible biphasic pulse generating and accurate charge balancing stimulator with a 1μW neural recording amplifier. 1885-1888 - Moein Khazraee, Ali Reza Zamani, Mohammad Hallajian, Seyed Pooya Ehsani, Hadi Asghari Moghaddam, Alireza Parsafar, Mahdi Shabany:
A novel hardware implementation for joint heart rate, respiration rate, and gait analysis applied to body area networks. 1889-1892 - Kuan-Yu Ho, Yu-Hao Chen, Cheng-Zhou Zhan, An-Yeu Andy Wu:
VLSI implementation of real-time motion compensated beamforming in synthetic transmit aperture imaging. 1893-1896 - Yuanqi Hu, Pantelis Georgiou:
A study of the partitioned dynamic programming algorithm for genome comparison in FPGA. 1897-1900 - Gabriela Ortiz-León, Marta Vílchez-Monge, Juan J. Montero-Rodríguez:
An updated Cardiovascular Simulation Toolbox. 1901-1904 - Pranab Roy, Mahua Raha Patra, Hafizur Rahaman, Parthasarathi Dasgupta:
Digital microfluidic system: A new design for heterogeneous sample based integration of multiple DMFBs. 1905-1909 - Martin Wiessflecker, Günter Hofer, Hannes Reinisch, Stefan Gruber, Gerald Holweg, Walther Pachler, Michael Klamminger, Wolfgang Pribyl:
A remotely powered multi frequency RFID sensing tag with integrated impedance measurement interface. 1910-1913 - Farhad Goodarzy, Behnam Sedighi, Efstratios Skafidas:
Micro-watt inductorless gm-boost LNA for biomedical implants. 1914-1917 - Ali Fazli Yeknami, Atila Alvandpour:
A variable bandwidth amplifier for a dual-mode low-power ΔΣ modulator in cardiac pacemaker system. 1918-1921 - Ermis Koutsos, Sivylla E. Paraskevopoulou, Timothy G. Constandinou:
A 1.5 μW NEO-based spike detector with adaptive-threshold for calibration-free multichannel neural interfaces. 1922-1925 - Shuang Song, Michael Johannes Rooijakkers, Chiara Rabotti, Massimo Mischi, Arthur H. M. van Roermund, Eugenio Cantatore:
A low-power noise scalable instrumentation amplifier for fetal monitoring applications. 1926-1929 - Ye Zhang, Zhimiao Chen, Ralf Wunderlich, Stefan Heinen:
Low-effort high-performance viterbi-based receiver for Bluetooth LE applications. 1930-1933 - Yushi Zhou, Norm M. Filiol, Shaul Peker, Fei Yuan:
Low-power programmable charge-domain sampler with embedded N-path bandpass filter for software-defined radio. 1934-1937 - Wengui Rao, Yan Dong, Fang Lu, Shu Wang:
Log-likelihood ratio algorithm for rate compatible modulation. 1938-1941 - Ramazan Köprü, Hakan Kuntman, B. Siddik Yarman:
A novel method to design wideband power amplifier for wireless communication. 1942-1945 - Zaid Albataineh, Fathi M. Salem:
New blind multiuser detection DS-CDMA algorithm using simplified fourth order cumulant matrices. 1946-1949 - Fanta Chen, Jen-Ming Wu, Jenny Yi-Chun Liu, Mau-Chung Frank Chang:
A 100Gb/s quad-rate transformer-coupled injection-locking CDR circuit in 65nm CMOS. 1950-1953 - Pierre Bayon, Lilian Bossuet, Alain Aubert, Viktor Fischer:
Electromagnetic analysis on ring oscillator-based true random number generators. 1954-1957 - Ke Li, Peter Wilson:
An improved push-pull driver using 0.13μm CMOS. 1958-1961 - Huapeng Wu:
Low complexity LFSR based bit-serial montgomery multiplier in GF(2m). 1962-1965 - Yingyu Li, Guangming Shi, Xuemei Xie, Chongyu Chen:
Compressive modulation in digital communication. 1966-1969 - Robert D'Angelo, Michael Trakimas, Shuchin Aeron, Sameer R. Sonkusale:
Experimental results on wideband spectrum sensing using random sampling ADC in 90nm CMOS. 1970-1973 - You Li, Tao Zeng, Degang Chen:
A high resolution and high accuracy R-2R DAC based on ordered element matching. 1974-1977 - Kejun Wu, Peng Liu, Qiaoyan Yu:
A novel energy-efficient serializer design method for gigascale systems. 1978-1981 - Fu-Chun Wen, Hung-Sheng Hsu, Zhi-Hao Hong, Yu-Te Liao:
A low-power 0.5V regulator with settling enhancement for wireless sensor nodes. 1982-1985 - Chi-Chang Lu:
A 1.2V 10-bit 5 MS/s CMOS cyclic ADC. 1986-1989 - John G. Kauffman, Rudolf Ritter, Chao Chu, Joachim Becker, Maurits Ortmanns:
Low power quantizer design in CT Delta Sigma modulators. 1990-1993 - Sohail Asghar, Rocío del Río, José M. de la Rosa:
Undersampling RF-to-digital CT ΣΔ modulator with tunable notch frequency and simplified raised-cosine FIR feedback DAC. 1994-1997 - Kumar Y. B. Nithin, Hervé Caracciolo, Edoardo Bonizzoni, A. Parra, Franco Maloberti:
A 1.96-mW, 2.6-MHz bandwidth discrete time quadrature band-pass ΣΔ modulator. 1998-2001 - I-Jen Chao, Chia-Ming Kuo, Bin-Da Liu, Chun-Yueh Huang, Soon-Jyh Chang:
A 3rd-order delta-sigma modulator with timing-sharing opamp-sharing technique. 2002-2005 - Kyoungtae Lee, Yeonam Yoon, Nan Sun:
A 10MHz-BW, 5.6mW, 70dB SNDR ΔΣ ADC using VCO-based integrators with intrinsic DEM. 2006-2009 - Ali Fazli Yeknami, Atila Alvandpour:
A 0.5-V 250-nW 65-dB SNDR passive ΔΣ modulator for medical implant devices. 2010-2013 - Yue Wu, Xu Cheng, Xiaoyang Zeng:
A split-capacitor vcm-based capacitor-switching scheme for low-power SAR ADCs. 2014-2017 - Ali Mesgarani, Haipeng Fu, Mei Yan, A. Tekin, Hao Yu, Suat U. Ay:
A 5-bit 1.25GS/s 4.7mW delay-based pipelined ADC in 65nm CMOS. 2018-2021 - Lei Qiu, Yuanjin Zheng, Liter Siek:
Analysis and design of high performance frequency-interleaved ADC. 2022-2025 - Rudolf Ritter, John G. Kauffman, Matthias Lorenz, Maurits Ortmanns:
Integrator swing reduction in feedback compensated Sigma-Delta modulators. 2026-2029 - Hai Huang, Kun Ao, Zhiyong Guo, Qiang Li:
A 0.5V rate-resolution scalable SAR ADC with 63.7dB SFDR. 2030-2033 - Wei-Sheng Cheng, Min-Han Hsieh, Shuo-Hong Hung, Szu-Yao Hung, Charlie Chung-Ping Chen:
A 10-bit current-steering DAC for HomePlug AV2 powerline communication system in 90nm CMOS. 2034-2037 - Mengyun Yue, Dong Wu, Zheyao Wang:
A 15-bit two-step sigma-delta ADC with embedded compression for image sensor array. 2038-2041 - Ming-Chun Liang, Cheng-Han Hsieh, Shuenn-Yuh Lee:
A 1.5-bit/stage pipeline ADC with FFT-based calibration method. 2042-2045 - Yuan-Fu Lyu, Chung-Yu Wu, Li-Chen Liu, Wei-Ming Chen:
A Low power 10bit 500kS/s delta-modulated SAR ADC (DMSAR ADC) for implantable medical devices. 2046-2049 - Jienan Chen, Jianhao Hu:
A novel FIR filter based on stochastic logic. 2050-2053 - Martin Kumm, Konrad Möller, Peter Zipf:
Partial LUT size analysis in distributed arithmetic FIR Filters on FPGAs. 2054-2057 - Martin Kumm, Konrad Möller, Peter Zipf:
Reconfigurable FIR filter using distributed arithmetic on FPGAs. 2058-2061 - Atsushi Shimada, Hongbo Zhu, Tadashi Shibata:
A VLSI DBSCAN processor composed as an array of micro agents having self-growing interconnects. 2062-2065 - Padma Prasad Boopal, Mario Garrido, Oscar Gustafsson:
A reconfigurable FFT architecture for variable-length and multi-streaming OFDM standards. 2066-2070 - Ime J. Umoh, Tom J. Kazmierski:
A floating gate graphene FET complementary inverter with symmetrical transfer characteristics. 2071-2074 - Weiqiang Liu, Saket Srivastava, Liang Lu, Máire O'Neill, Earl E. Swartzlander Jr.:
Power analysis attack of QCA circuits: A case study of the Serpent cipher. 2075-2078 - Chua-Chin Wang, Wen-Je Lu, Hsin-Yuan Tseng:
A high-speed 2×VDD output buffer with PVT detection using 40-nm CMOS technology. 2079-2082 - Yandong He, Jie Hong, Ganggang Zhang, Lin Han, Xing Zhang:
A monitoring circuit for NBTI degradation at 65nm technology node. 2083-2086 - Shashikanth Bobba, Pierre-Emmanuel Gaillardon, Ciprian Seiculescu, Vasilis F. Pavlidis, Giovanni De Micheli:
3.5-D integration: A case study. 2087-2090 - Wenwu Yu, Xinghuo Yu:
A forward step for adaptive synchronization in directed complex networks. 2091-2094 - Yuzhu Huang, Derong Liu, Qinglai Wei:
Convergence analysis of continuous-time systems based on feedforward neural networks. 2095-2098 - Zhigang Zeng, Wei Xing Zheng:
Stability analysis of multiple equilibria for recurrent neural networks with time-varying delays. 2099-2102 - Pei Wang, Renquan Lu, Yao Chen, Xiaoqun Wu:
Hybrid modelling of the general middle-sized genetic regulatory networks. 2103-2106 - Chihiro Ikuta, Yoko Uwate, Yoshifumi Nishio, Guoan Yang:
Multi-Layer Perceptron including glial pulse and switching between learning and non-learning. 2107-2110 - Jian Zhang, Pierre-Emmanuel Gaillardon, Giovanni De Micheli:
Dual-threshold-voltage configurable circuits with three-independent-gate silicon nanowire FETs. 2111-2114 - Yanan Sun, Volkan Kursun:
Low-power and compact NP dynamic CMOS adder with 16nm carbon nanotube transistors. 2119-2122 - Zhe Zhang, José G. Delgado-Frias:
CNTFET 8T SRAM cell performance with near-threshold power supply scaling. 2123-2126 - Ogun Turkyilmaz, Fabien Clermidy, Luca Gaetano Amarù, Pierre-Emmanuel Gaillardon, Giovanni De Micheli:
Self-checking ripple-carry adder with Ambipolar Silicon NanoWire FET. 2127-2130 - Ching-Yao Su, Jar-Ferr Yang:
A two-stage low complexity face recognition system for face images with alignment errors. 2131-2134 - Chen Zhao, Siwei Ma, Jian Zhang, Wen Gao:
A highly effective error concealment method for whole frame loss. 2135-2138 - Jie Chen, Lap-Pui Chau:
Rain removal from dynamic scene based on motion segmentation. 2139-2142 - Mading Li, Jiaying Liu, Jie Ren, Zongming Guo:
Adaptive general scale interpolation based on similar pixels weighting. 2143-2146 - Huimin Lu, Yujie Li, Lifeng Zhang, Akira Yamawaki, Shiyuan Yang, Seiichi Serikawa:
Underwater optical image dehazing using guided trigonometric bilateral filtering. 2147-2150 - Liang Liu:
High-throughput hardware-efficient soft-input soft-output MIMO detector for iterative receivers. 2151-2154 - Michael Wu, Bei Yin, Aida Vosoughi, Christoph Studer, Joseph R. Cavallaro, Chris Dick:
Approximate matrix inversion for high-throughput data detection in the large-scale MIMO uplink. 2155-2158 - Iput Heri Kurniawan, Ji-Hwan Yoon, Jongsun Park:
Multidimensional Householder based high-speed QR decomposition architecture for MIMO receivers. 2159-2162 - Junghyun Ha, Janghyuk Yoon, Ik Joon Chang, Jinsang Kim:
Low-complexity decision directed method for carrier frequency offset estimation of IEEE 802.11ad. 2163-2166 - Kai-Jiun Yang, Shang-Ho Tsai, Ruei-Ching Chang, Yan-Cheng Chen, Gene C. H. Chuang:
VLSI implementation of a low complexity 4×4 MIMO sphere decoder with table enumeration. 2167-2170 - Lu Wang, Guoan Bi:
Harmonic signal recovery and order estimation based on cascaded sparse processing. 2171-2174 - Wen-Sheng Chou, Tai-Shih Chi:
A precedence effect based far-field DoA estimation algorithm. 2175-2178 - Iman Moazzen, Panajotis Agathoklis:
An approach for joint blind space-time equalization and DOA estimation. 2183-2186 - Jian-Feng Gu, Wei-Ping Zhu, M. N. S. Swamy:
Sparse linear arrays for estimating and tracking DOAs of signals with known waveforms. 2187-2190 - Mahsa Shoaran, Mariazel Maqueda Lopez, Vijaya Sankara Rao Pasupureddi, Yusuf Leblebici, Alexandre Schmid:
A low-power area-efficient compressive sensing approach for multi-channel neural recording. 2191-2194 - Zhe Gao, John C. Liobe, Zeljko Ignjatovic, Mark F. Bocko:
Noise model of indirect-feedback sigma-delta image sensors. 2195-2198 - Yingkan Lin, Milutin Stanacevic:
Low-noise readout IC with integrated analog-to-digital conversion for radiation detection system. 2199-2202 - Liang Zhou, Pikul Sarkar, Shantanu Chakrabartty:
Scavenging thermal-noise energy for implementing long-term self-powered CMOS timers. 2203-2206 - Pikul Sarkar, Shantanu Chakrabartty:
A compressive piezoelectric front-end circuit for self-powered mechanical impact detectors. 2207-2210 - Jeremy Yung Shern Low, Thian Fatt Tay, Chip-Hong Chang:
A signed integer programmable power-of-two scaler for {2n-1, 2n, 2n+1} RNS. 2211-2214 - Daran Cai, Ang Wang, Ge Song, Weikang Qian:
An ultra-fast parallel architecture using sequential circuits computing on random bits. 2215-2218 - Joshua Yung Lih Low, Ching-Chuen Jong:
Non-iterative high speed division computation based on Mitchell logarithmic method. 2219-2222 - Ioannis Kouretas, Vassilis Paliouras:
Delay-variation-tolerant FIR filter architectures based on the Residue Number System. 2223-2226 - U. Nidhi, Kolin Paul, Ahmed Hemani, Anshul Kumar:
High performance 3D-FFT implementation. 2227-2230 - Yung-Hui Chung:
The swapping binary-window DAC switching technique for SAR ADCs. 2231-2234 - Wenjuan Guo, Youngchun Kim, Arindam Sanyal, Ahmed H. Tewfik, Nan Sun:
A single SAR ADC converting multi-channel sparse signals. 2235-2238 - Wen-Lan Wu, Yan Zhu, Li Ding, Chi-Hang Chan, U. Fat Chio, Sai-Weng Sin, Seng-Pan U., Rui Paulo Martins:
A 0.6V 8b 100MS/s SAR ADC with minimized DAC capacitance and switching energy in 65nm CMOS. 2239-2242 - Kuan-Ting Lin, Kea-Tiong Tang:
A SAR ADC with energy-efficient DAC and tri-level switching scheme. 2243-2246 - Manideep Gande, Jon Guerber, Un-Ku Moon:
Analysis of back-end flash in a 1.5b/stage pipelined ADC. 2247-2250 - Selçuk Köse, Inna Vaisband, Eby G. Friedman:
Digitally controlled wide range pulse width modulator for on-chip power supplies. 2251-2254 - Katharina Hausmair, Shuli Chi, Christian Vogel:
How to reach 100% coding efficiency in multilevel burst-mode RF transmitters. 2255-2258 - Todd J. Freeborn, Brent Maundy, Ahmed S. Elwakil:
Accurate time domain extraction of supercapacitor fractional-order model parameters. 2259-2262 - Shuli Chi, Katharina Hausmair, Christian Vogel:
Coding efficiency of bandlimited PWM based burst-mode RF transmitters. 2263-2266 - Ge Wu, Leonid Belostotski, James W. Haslett:
A broadband Variable Gain Amplifier for the Square Kilometer Array. 2267-2270 - Lieuwe B. Leene, Song Luan, Timothy G. Constandinou:
A 890fJ/bit UWB transmitter for SOC integration in high bit-rate transcutaneous bio-implants. 2271-2274 - Hong Chen, Ze-dong Nie, Kamen Ivanov, Lei Wang, Ran Liu:
A statistical MAC protocol for heterogeneous-traffic human body communication. 2275-2278 - Yadong Huang, Jianfeng Wang, Tianjia Sun, Xiang Xie, Guolin Li, Yingke Gu, Zhihua Wang:
An efficiency-enhanced wireless power transfer system with segmented transmitting coils for endoscopic capsule. 2279-2282 - Kamyar Keikhosravy, Pouya Kamalinejad, Shahriar Mirabbasi, Kenichi Takahata, Victor C. M. Leung:
An ultra-low-power monitoring system for inductively coupled biomedical implants. 2283-2286 - Alireza Yousefian, Sébastien Roy, Benoit Gosselin:
A Low-power wireless multi-channel surface EMG sensor with simplified ADPCM data compression. 2287-2290 - Jinhu Lu, Guanrong Chen, Maciej J. Ogorzalek, Ljiljana Trajkovic:
Theory and applications of complex networks: Advances and challenges. 2291-2294 - Jiajing Wu, Chi Kong Tse, Francis Chung-Ming Lau, Ivan Wang Hei Ho:
An adaptive routing algorithm for load balancing in communication networks. 2295-2298 - Jing Cui, Yi-Qing Zhang, Xiang Li:
On the clustering coefficients of temporal networks and epidemic dynamics. 2299-2302 - Qipeng Liu, Xiaofan Wang:
Social learning with bounded confidence and probabilistic neighbors. 2303-2306 - Hui Liu, Ming Cao, Chai Wah Wu:
New spectral graph theoretic conditions for synchronization in directed complex networks. 2307-2310 - Junwen Luo, Patrick Degenaar, Graeme Coapes, Alex Yakovlev, Terrence S. T. Mak, Peter Andras:
Towards reliable hybrid bio-silicon integration using novel adaptive control system. 2311-2314 - Muhammad Izzat bin Mohd Idrus, Yoshihiro Kato, Yoko Uwate, Yoshifumi Nishio:
Image processing by three-layer cellular neural networks with a new layer arrangement. 2315-2318 - Min Xiao, Wei Xing Zheng:
Bifurcation analysis of delayed bidirectional associative memory neural networks. 2319-2322 - Love Cederstroem, Paul Stärke, Christian Mayr, Yao Shuai, Heidemarie Schmidt, René Schüffny:
A model based comparison of BiFeO3 device applicability in neuromorphic hardware. 2323-2326 - Jiuwen Cao, Zhiping Lin, Guang-Bin Huang:
Voting base online sequential extreme learning machine for multi-class classification. 2327-2330 - Shairfe Muhammad Salahuddin, Hailong Jiao, Volkan Kursun:
Low-leakage hybrid FinFET SRAM cell with asymmetrical gate overlap / underlap bitline access transistors for enhanced read data stability. 2331-2334 - Anurag Gupta, Mitchell Spryn, Bruce C. Kim, Eugene Edwards, Christina Brantley, Paul Ruffin:
Design of nanosensing platform based on zinc oxide nanowire arrays. 2335-2338 - Binjie Cheng, Xingsheng Wang, Andrew R. Brown, Jente B. Kuang, Dave Reid, Campbell Millar, Sani R. Nassif, Asen Asenov:
SRAM device and cell co-design considerations in a 14nm SOI FinFET technology. 2339-2342 - Ted Sun, Ayhan A. Mutlu, Mahmud Rahman:
Statistical Electromigration analysis of a chip with the consideration of a within-die temperature map. 2343-2346 - Min-Jen Tsai, Jung Liu:
Digital forensics for printed source identification. 2347-2350 - Guan-Shi Zhong, Jian-Jun Wang:
Region incrementing visual secret sharing scheme based on random grids. 2351-2354 - Muhammad Umar Karim Khan, Chong-Min Kyung, Khawaja M. Yahya:
Optimized learning rate for energy waste minimization in a background subtraction based surveillance system. 2355-2360 - Gwo Giun Chris Lee, Ciao-Siang Siao, Chunhui Cui, Chun-Fu Chen, Yan Huo, Huan-Hsiang Lin:
Depth map enhancement based on Z-displacement of objects. 2361-2364 - Ke Gu, Guangtao Zhai, Xiaokang Yang, Wenjun Zhang:
Self-adaptive scale transform for IQA metric. 2365-2368 - Mahdi Parvizi, Karim Allidina, Frederic Nabki, Mourad N. El-Gamal:
A 0.4V ultra low-power UWB CMOS LNA employing noise cancellation. 2369-2372 - Malihe Zarre Dooghabadi, Håkon A. Hjortland, Tor Sverre Lande:
An ultra-wideband receiving antenna array. 2373-2376 - Ro-Min Weng, Yi-Han Wu, Huo-Ying Chang:
A high gain ultra-wideband low noise amplifier with 802.11a interference rejection. 2377-2379 - Tuan Anh Vu, Håkon A. Hjortland, Øivind Næss, Tor Sverre Lande:
A 3-5 GHz IR-UWB receiver front-end for wireless sensor networks. 2380-2383 - De-Kai Huang, Kwang-Yu Cheng, Shyi-Chyi Cheng:
Video object detection by model-based tracking. 2384-2387 - Muhammad Mohsin Riaz, Abdul Ghafoor:
Ground penetrating radar image enhancement using singular value decomposition. 2388-2391 - Pushe Zhao, Hongbo Zhu, Tadashi Shibata:
A multiple-candidate-regeneration-based object tracking system with enhanced learning capability by nearest neighbor classifier. 2392-2395 - Kuan-Hui Lee, Jenq-Neng Hwang, Jen-Yu Yu, Kual-Zheng Lee:
Vehicle tracking iterative by Kalman-based constrained multiple-kernel and 3-D model-based localization. 2396-2399 - Meng-Che Chuang, Jenq-Neng Hwang, Kresimir Williams, Richard Towler:
Multiple fish tracking via Viterbi data association for low-frame-rate underwater camera systems. 2400-2403 - Juan A. Leñero-Bardallo, Dag Halvdan Bryn, Philipp Häfliger:
Flame monitoring with an AER color vision sensor. 2404-2407 - Phillip A. M. Sandborn, Pamela Abshire:
2D motion sensor with programmable feature extraction. 2408-2411 - Arnaud Verdant, Antoine Dupret, Patrick Villard, Laurent Alacoque, Hervé Mathias, Flavien Delgehier:
A 120μW 240×110@25fps vision chip with ROI detection SIMD processing unit. 2412-2415 - Xilin Liu, Milin Zhang, Jan Van der Spiegel:
A low power multi-mode CMOS image sensor with integrated on-chip motion detection. 2416-2419 - Teresa Serrano-Gotarredona, Jongkil Park, Alejandro Linares-Barranco, Angel Jiménez-Fernandez, Ryad Benosman, Bernabé Linares-Barranco:
Improved contrast sensitivity DVS and its application to event-driven stereo vision. 2420-2423 - Yuanbo Zhu, Jigang Wu, Siew Kei Lam, Thambipillai Srikanthan:
Preprocessing technique for accelerating reconfiguration of degradable VLSI arrays. 2424-2427 - Priyank Gupta, Ali Akoglu, Kathleen L. Melde, Janet Meiling Wang Roveda:
FPGA based single cycle, reconfigurable router for NoC applications. 2428-2431 - Yuan-Ho Chen:
A high resolution FPGA-based merged delay line TDC with nonlinearity calibration. 2432-2435 - Chun-Hsian Huang:
An FPGA-based point target detection system using morphological clutter elimination. 2436-2439 - Santhosh Onkaraiah, Ogun Turkyilmaz, Marina Reyboz, Fabien Clermidy, Elisa Vianello, Jean-Michel Portal, Christophe Muller:
A hybrid CBRAM/CMOS Look-Up-Table structure for improving performance efficiency of Field-Programmable-Gate-Array. 2440-2443 - Ramy Yousry, Henry Park, E-Hung Chen, Chih-Kong Ken Yang:
A digitally-calibrated 10GS/s reconfigurable flash ADC in 65-nm CMOS. 2443-2447 - Weitao Li, Cao Sun, Fule Li, Zhihua Wang:
A 14-bit pipelined ADC with digital background nonlinearity calibration. 2448-2451 - Taimur Gibran Rabuske, Jorge R. Fernandes, Fabio Gibran Rabuske, Cesar Ramos Rodrigues, Marcelino Bicho Dos Santos:
A self-calibrated 10-bit 1 MSps SAR ADC with reduced-voltage charge-sharing DAC. 2452-2455 - Benwei Xu, Yun Chiu:
Background calibration of time-interleaved ADC using direct derivative information. 2456-2459 - Yue Xu, Ayman Shabra:
A time-interleaved ADC architecture exploiting correlations between samples. 2460-2463 - Bohan Wu, Weixin Gai, Te Han:
A novel frequency search algorithm to achieve fast locking without phase tracking in ADPLL. 2464-2467 - Qiyang Wu, Salma Elabd, Jamin J. McCue, Waleed Khalil:
Analytical and experimental study of tuning range limitation in mm-wave CMOS LC-VCOs. 2468-2471 - Harijot Singh Bindra, Shouri Chatterjee, Kaushik Saha, Taranjit Kukal:
Clock and data recovery module in 90nm for 10Gbps serial link with -18dB channel attenuation. 2472-2475 - Glenn E. R. Cowan, Christopher Williams:
Phase-locked loop architecture for enhanced voltage-controlled oscillator phase-noise suppression. 2476-2479 - Amin Ojani, Behzad Mesgarzadeh, Atila Alvandpour:
A quadrature UWB frequency synthesizer with dynamic settling-time calibration. 2480-2483 - Ying Tan, Guyue Mi, Yuanchun Zhu, Chao Deng:
Artificial immune system based methods for spam filtering. 2484-2488 - Ilias Pagkalos, Pau Herrero, Pantelis Georgiou:
An analogue implementation of the beta cell insulin release model. 2489-2492 - Milad Lankarany, Wei-Ping Zhu, M. N. S. Swamy:
Parameter estimation of Hodgkin-Huxley neuronal model using dual extended Kalman filter. 2493-2496 - Jing-Ming Guo, Chen-Chi Lin, Che-Hao Chang, Yun-Fu Liu:
Face gender recognition with halftoning-based adaboost classifiers. 2497-2500 - Deren Y. Barsakcioglu, Amir Eftekhar, Timothy G. Constandinou:
Design optimisation of front-end neural interfaces for spike sorting systems. 2501-2504 - Xiang Li, Xin Liu, Chi K. Tse:
Recent advances in bridging time series and complex networks. 2505-2508 - Michael Small:
Complex networks from time series: Capturing dynamics. 2509-2512 - Jingyuan Zhan, Xiang Li:
Consensus in networked multi-agent systems via model predictive control with horizon one. 2517-2520 - Hao Zhu, Henry Leung:
A maximum likelihood approach to state estimation of complex dynamical networks with unknown noisy transmission channel. 2521-2524 - Yoichi Sakai, Kiyoshi Nakayama, Norihiko Shinomiya:
A node-weight equalization problem with circuit-based computations. 2525-2528 - Tommaso Addabbo, Ada Fort, Mauro Di Marco, Luca Pancioni, Valerio Vignoli:
A 1-bit Physically Unclonable Function based on a two-neurons CNN. 2529-2532 - Lang Cao, Xiang Li, Lin Han:
Detecting community structure of networks using evolutionary coordination games. 2533-2536 - Kan Shi, David Boland, George A. Constantinides:
Overclocking datapath for latency-error tradeoff. 2537-2540 - Dajiang Liu, Shouyi Yin, Leibo Liu, Shaojun Wei:
Affine transformations for communication and reconfiguration optimization of loops on CGRAs. 2541-2544 - Yen-Chi Yang, Chun-Yao Wang, Ching-Yi Huang, Yung-Chih Chen:
Pattern generation for Mutation Analysis using Genetic Algorithms. 2545-2548 - Tiago Reimann, Gracieli Posser, Guilherme Flach, Marcelo O. Johann, Ricardo Reis:
Simultaneous gate sizing and Vt assignment using Fanin/Fanout ratio and Simulated Annealing. 2549-2552 - Gholamreza Shomalnasab, Howard M. Heys, Lihong Zhang:
Analytic modeling of interconnect capacitance in submicron and nanometer technologies. 2553-2556 - Hans H. Brunner, Josef A. Nossek:
Fun examples for teaching linear and nonlinear circuits. 2557-2560 - Marco Winzker, Andrea Schwandt, Tobias Krumkamp, Alexander Tieke:
Architecture and implementation of a development board for low-power education. 2561-2564 - Nuno Paulino, João Pedro Oliveira, Rui Santos-Tavares:
The design of an audio power amplifier as a class project for undergraduate students. 2565-2568 - Chi-Un Lei, Ngai Wong, Ka Lok Man:
Integration of a wireless sensor network project for introductory circuits and systems teaching. 2569-2572 - Jordi Albo-Canals, David Vernet, Xavi Canaleta, Xavier Vilasís-Cardona:
LSMaker: A robotic platform for Engineering Education. 2573-2576 - Yi Wang, Yajun Ha:
An area-efficient shuffling scheme for AES implementation on FPGA. 2577-2580 - Câncio Monteiro, Yasuhiro Takahashi, Toshikazu Sekine:
DPA resistance of charge-sharing symmetric adiabatic logic. 2581-2584 - K. C. Cinnati Loi, Seok-Bum Ko:
High performance scalable elliptic curve cryptosystem processor in GF(2m). 2585-2588 - Wei Wang, Xinming Huang:
FPGA implementation of a large-number multiplier for fully homomorphic encryption. 2589-2592 - Karl Leboeuf, Roberto Muscedere, Majid Ahmadi:
A GPU implementation of the Montgomery multiplication algorithm for elliptic curve cryptography. 2593-2596 - Wen-Liang Hsue, Soo-Chang Pei:
Closed-form eigenvectors of the discrete Fourier Transform. 2597-2600 - Shin-Chi Lai, Wen-Ho Juang, Yueh-Shu Lee, Sheau-Fang Lei:
High-performance RDFT design for applications of digital radio mondiale. 2601-2604 - Saad Bouguezel, M. Omair Ahmad, M. N. S. Swamy:
A new involutory parametric transform and its application to image encryption. 2605-2608 - Chien-Cheng Tseng, Su-Ling Lee:
Closed-form design of fractional order differentiator using discrete cosine transform. 2609-2612 - Ruey-Wen Liu, Rendong Ying, Xu Wang, Bo Hu:
A method for optimal SINR under non-i.i.d. interferences. 2613-2616 - Shuang Xie, Wai Tung Ng:
A low power all-digital self-calibrated temperature sensor using 65nm FPGAs. 2617-2620 - Chen Zhao, Yen-Ting Wang, David Genzer, Degang Chen, Randall L. Geiger:
A CMOS on-chip temperature sensor with -0.21°C 0.17 °C inaccuracy from -20 °C to 100 °C. 2621-2625 - Ion Vornicu, Ricardo Carmona-Galán, Ángel Rodríguez-Vázquez:
A CMOS 8×8 SPAD array for Time-of-Flight measurement and light-spot statistics. 2626-2629 - Raphael Njuguna, Viktor Gruev:
Velocity saturation current-mode CMOS imaging sensor. 2630-2633 - Hsuan-Tsung Wang, Walter D. Leon-Salas:
A hybrid CMOS imager with sensing and energy harvesting capabilities. 2634-2637 - Federico A. Altolaguirre, Ming-Dou Ker:
Low-leakage power-rail ESD clamp circuit with gated current mirror in a 65-nm CMOS technology. 2638-2641 - Zhenyang Chen, Qin Wang, Jing Xie, Jin Tian, Jianfei Jiang, Yufei Li, Wen Yin:
Modeling and analysis of signal transmission with Through Silicon Via (TSV) noise coupling. 2646-2649 - Selçuk Köse, Eby G. Friedman, Radu M. Secareanu, Olin L. Hartin:
Current profile of a microcontroller to determine electromagnetic emissions. 2650-2653 - Sying-Jyan Wang, Cheng-Hao Lin, Katherine Shu-Min Li:
Synthesis of 3D clock tree with pre-bond testability. 2654-2657 - Yen-Ting Wang, Degang Chen, Randall L. Geiger:
Practical methods for verifying removal of Trojan stable operating points. 2658-2661 - Farakh Javid, Ramy Iskander, Marie-Minerve Louërat, François Durbin:
A structured DC analysis methodology for accurate verification of analog circuits. 2662-2665 - Dustin Dunwell, Atul Gupta, Anthony Chan Carusone:
Channel characterization using jitter measurements. 2666-2669 - Murillo Franco, Jairo Güiza, Erasmo Chiappetta, Sergio Rueda, H. Luis, J. Bertuzzo, Jim Koeppe, Tim Robins, Julian Jenkins, Tara Julia Hamilton:
Electronically programmable test points for on-chip analog/digital measurements. 2670-2673 - Siva Sudani, Degang Chen, Randall L. Geiger:
High resolution ADC spectral test with known impure source and non-coherent sampling. 2674-2677 - Glenn E. R. Cowan, Mounir Meghelli, Daniel J. Friedman:
A linearized voltage-controlled oscillator for dual-path phase-locked loops. 2678-2681 - Ching-Che Chung, Jhih-Wei Li:
An all-digital on-chip silicon oscillator with automatic VT range selection relative modeling. 2682-2685 - Federico Pepe, Andrea Bonfanti, Salvatore Levantino, Paolo Maffezzoni, Carlo Samori, Andrea L. Lacaita:
Simulating phase noise induced from cyclostationary noise sources. 2686-2689 - Te Han, Weixin Gai:
A 2.7-GHz digitally-controlled ring oscillator with supply sensitivity of 0.0014%-fDCO/1%-VDD using digital current-regulated tuning. 2690-2693 - Matteo Biggio, Federico Bizzarri, Angelo Brambilla, Marco Storace:
Effects of numerical noise floor on the accuracy of time domain noise analysis in circuit simulators. 2694-2697 - Md. Tawfiq Amin, Pui-In Mak, Rui Paulo Martins:
A 0.5V 10GHz 8-phase LC-VCO Combining current-reuse and back-gate-coupling techniques consuming 2mW. 2698-2701 - Igor M. Filanovsky, Agustin Ochoa:
On loop gain in linear networks. 2702-2705 - Amro M. Elshurafa, Khaled N. Salama, P. H. Ho:
Modeling and fabrication of an RF MEMS variable capacitor with a fractal geometry. 2711-2714 - Szu-Yao Hung, Kai-Hsiang Chan, Charlie Chung-Ping Chen:
A high dynamic range programmable gain amplifier for HomePlug AV powerline communication system. 2715-2718 - Dimitri Galayko, Elena Blokhina:
Nonlinear effects in electrostatic vibration energy harvesters: Current progress and perspectives. 2719-2722 - Andres A. Blanco, Gabriel A. Rincón-Mora:
On-chip starter circuit for switched-inductor DC-DC harvester systems. 2723-2726 - Abdelali El Aroudi, Miquel Lopez-Suarez, Eduard Alarcón, Riccardo Rurali, Gabriel Abadal:
Nonlinear dynamics in a graphene nanostructured device for energy harvesting. 2727-2730 - Cuong Phu Le, Einar Halvorsen:
Impact-based electrostatic harvesters considered as a multi-source problem. 2731-2734 - Francesco Orfei, Igor Neri, Helios Vocca, Luca Gammaitoni:
Nonlinear vibration energy harvesting at work: An application for the automotive sector. 2735-2738 - Elena Blokhina, Daniele Fournier-Prunaret, Peter Harte, Dimitri Galayko, Orla Feely:
Combined mechanical and circuit nonlinearities in electrostatic vibration energy harvesters. 2739-2742 - M. H. McCullough, Herbert H. C. Iu, B. Muthuswamy:
Chaotic behaviour in a three element memristor based circuit using fourth order polynomial and PWL nonlinearity. 2743-2746 - Michael Peter Kennedy, Brian Fitzgibbon, Kerry Dobmeier:
Spurious tones in digital delta sigma modulators with pseudorandom dither. 2747-2750 - Zbigniew Galias, Warwick Tucker:
Combination of exhaustive search and continuation method for the study of sinks in the Hénon map. 2751-2754 - Kuntal Mandal, Abdullah Abusorrah, Mohammed M. Al-Hindawi, Yusuf Al-Turki, Damian Giaouris, Soumitro Banerjee:
Dynamical analysis of single-inductor dual-output DC-DC converters. 2755-2758 - Srinivasa Vemuru, Sami Khorbotly, Firas Hassan:
A spiral learning approach to hardware description languages. 2759-2762 - Piotr F. Mitros, Khurram K. Affidi, Gerald J. Sussman, Chris J. Terman, Jacob K. White, Lyla Fischer, Anant Agarwal:
Teaching electronic circuits online: Lessons from MITx's 6.002x on edX. 2763-2766 - Sara H. Davis, Megan N. Frankle, Ravi Prakash Ramachandran, Kevin D. Dahm, Robi Polikar:
A freshman level module in biometric systems. 2767-2770 - Ariel L. Pola, Juan E. Cousseau, Oscar E. Agazzi, Mario Rafael Hueda:
Efficient decision feedforward equalizer with parallelizable architecture. 2771-2774 - Karsten Konrad, Dieter Brückmann, Nima Tavangaran, Jidan Al-Eryani, Rainer Kokozinski, Thomas Werthwein:
Delay element concept for Continuous Time Digital Signal Processing. 2775-2778 - David S. Ricketts, Matthew J. Chabalko:
On the efficient wireless power transfer in resonant multi-receiver systems. 2779-2782 - Elisenda Bou, Eduard Alarcón, Raymond Sedwick, Peter Fisher:
Interference analysis on Resonant Inductive Coupled Wireless Power Transfer links. 2783-2786 - Ho Yan Leung, Daniel McCormick, David Budgett, Aiguo Patrick Hu:
Design methodology for inductive power transfer systems targeting high power implantable devices. 2787-2791 - Shin-Young Cho, Il-Oun Lee, SangCheol Moon, Gun-Woo Moon, Bong-Chul Kim, Ki Young Kim:
Constant current charging in series-series compensated non-radiative wireless power link. 2792-2795 - Hao Gao, Ulf Johannsen, Marion K. Matters-Kammerer, Dusan M. Milosevic, Adrianus Bart Smolders, Arthur H. M. van Roermund, Peter G. M. Baltus:
A 60-GHz rectenna for monolithic wireless sensor tags. 2796-2799 - Soo-Chang Pei, Yu-Zhe Hsiao:
Demosaicking of Color Filter Array patterns using Quaternion Fourier Transform and low pass filter. 2800-2803 - Ting-Chun Wang, Yi-Nung Liu, Shao-Yi Chien:
Algorithm adaptive video deinterlacing using self-validation framework. 2804-2807 - Feng-Ju Chang, Soo-Chang Pei:
Color constancy via chromaticity neutralization: From single to multiple illuminants. 2808-2811 - Lifang Wu, Lianchao Cao, Chang Wen Chen:
Fast and improved seam carving with strip partition and neighboring probability constraints. 2812-2815 - Muhammad Imran, Abdul Ghafoor, Muhammad Mohsin Riaz:
Adaptive watermarking technique based on human visual system and fuzzy inference system. 2816-2819 - Yibin Tang, Yuan Shen, Aimin Jiang, Ning Xu, Changping Zhu:
Image denoising via Graph regularized K-SVD. 2820-2823 - Rafael Goncalves Pires, Luís A. M. Pereira, Alex F. Mansano, João P. Papa:
A hybrid image restoration algorithm based on Projections Onto Convex Sets and Harmony Search. 2824-2827 - Chien-Cheng Tseng, Su-Ling Lee:
Computation of partial fractional derivative of digital image using discrete cosine transform. 2828-2831 - Baoxian Wang, Baojun Zhao, Chenwei Deng, Linbo Tang:
Adaptive parameter estimation for total variation image denoising. 2832-2835 - Jian Zhang, Shaohui Liu, Ruiqin Xiong, Siwei Ma, Debin Zhao:
Improved total variation based image compressive sensing recovery by nonlocal regularization. 2836-2839 - Xuyuan Xu, Lai-Man Po, Chun-Ho Cheung, Litong Feng, Ka-Ho Ng, Kwok-Wai Cheung:
Depth-aided exemplar-based hole filling for DIBR view synthesis. 2840-2843 - Tzu-Yi Hung, Jiwen Lu, Yap-Peng Tan:
Cross-scene abnormal event detection. 2844-2847 - Lu Dong, Weisi Lin, Chenwei Deng, Ce Zhu, Hock Soon Seah:
To exploit uncertainty masking for adaptive image rendering. 2848-2851 - Mengmeng Zhang, Chuan Zhao, Jizheng Xu, Huihui Bai:
A fast depth-map wedgelet partitioning scheme for intra prediction in 3D video coding. 2852-2855 - Wen-Nung Lie, Guan-Hua Lin:
Error concealment for 3D video transmission. 2856-2559 - Hanyang Xu, Zhen Zhou, Bin Sheng, Lizhuang Ma:
Fast vehicle detection based on feature and real-time prediction. 2860-2863 - Song Lin, Xinfeng Zhang, Qin Yu, Honggang Qi, Siwei Ma:
Parallelizing video transcoding with load balancing on cloud computing. 2864-2867 - Lih-Jen Kau, Chih-Shen Chen:
Speeding up the runtime performance for lossless image coding on GPUs with CUDA. 2868-2871 - Xiaoming Nan, Yifeng He, Ling Guan:
Optimization of workload scheduling for multimedia cloud computing. 2872-2875 - Yi Huang, Yun Tie, Anastasios N. Venetsanopoulos, Ling Guan:
Human emotion recognition using the adaptive sub-layer-compensation based facial edge detection. 2876-2879 - Min Gao, Siwei Ma, Debin Zhao, Wen Gao:
A spatial inter-view auto-regressive super-resolution scheme for multi-view image via scene matching algorithm. 2880-2883 - Kui Fan, Honggang Qi, Dawei Du, Changhua Zhang:
Recover image details from LDR photographs. 2884-2887 - Yuming Fang, Weisi Lin, Zhenzhong Chen, Chia-Wen Lin, Zhijun Fang, Chenwei Deng:
A saliency detection model based on sparse features and visual acuity. 2888-2891 - Xiaoqiong Su, Weiyao Lin, Xiaozhen Zheng, Xintong Han, Hang Chu, Xiaoyun Zhang:
A new Local-Main-Gradient-Orientation HOG and contour differences based algorithm for object classification. 2892-2895 - Huanjing Yue, Jingyu Yang, Xiaoyan Sun, Feng Wu:
SIFT-based image super-resolution. 2896-2899 - Vu-Hiep Doan, Viet Anh Nguyen, Minh N. Do:
Efficient view synthesis based error concealment method for multiview video plus depth. 2900-2903 - Jun Xie, Yu-Feng Hsu, Rogério Schmidt Feris, Ming-Ting Sun:
Fine registration of 3D point clouds with iterative closest point using an RGB-D camera. 2904-2907 - Mihail Georgiev, Atanas P. Gotchev, Miska M. Hannuksela:
Influence Of camera imaging pipeline on stereo-matching quality: An experimental study. 2908-2911 - Wooseok Kim, Joohan Kim, Minsu Choi, Ik Joon Chang, Jinsang Kim:
Low complexity image correction using color and focus matching for stereo video coding. 2912-2915 - Kuan-Hung Chen, Chin-Long Su:
Reducing computation complexity for disparity matching. 2916-2919 - Wu-Sheng Lu, Takao Hinamoto:
New algorithm for minimax design of sparse IIR filters. 2920-2923 - Xiaoping Lai, Zhiping Lin:
Design and application of allpass filters with equiripple group delay errors. 2924-2927 - Shotaro Nishimura, Aloys Mvuma, Takao Hinamoto:
Tracking properties of complex adaptive notch filter for detection of multiple real sinusoids. 2928-2931 - Ruijie Zhao, Xiaoping Lai:
An efficient matrix iterative algorithm for the WLS design of 2-D FIR filters. 2932-2935 - Anu Kalidas Muralidharan Pillai, Håkan Johansson:
Low-complexity two-rate based multivariate impulse response reconstructor for time-skew error correction in m-channel time-interleaved ADCs. 2936-2939 - Ru Yang, Bo Zhang, Fan Xie, Herbert Ho-Ching Iu, Wei Hu:
Detecting bifurcation types in DC-DC switching converters by duplicate symbolic sequence. 2940-2943 - Ahmed Hashim, Bertan Bakkaloglu:
Fast transient digitally controlled buck regulator with inductor current slew-rate boost. 2944-2947 - Yen-Chia Chu, N. Sertac Artan, Dariusz Czarkowski, H. Jonathan Chao:
A new single-stage AC-DC converter for medical implant devices. 2948-2951 - Moris Lin, Yung-Sheng Huang, Andreas Ehrhart, Yu-Huei Lee, Chao-Chang Chiu, Bernhard Wicht, Ke-Horng Chen:
Authentic mode-toggled detector with fast transient response under wide load range buck-boost converter. 2952-2955 - Yiming Zheng, Zanji Wang:
Macroscopic broadband loss characteristics of power transformer winding extracted by Vector Fitting Method. 2956-2959 - Marcelo Domingues, Antônio Carlos M. de Queiroz:
Ultra-low-power control systems for electrostatic energy harvesters. 2960-2963 - Hugo B. Goncalves, Jorge R. Fernandes, Miguel A. Martins:
A study on MOSFET rectifiers maximum output voltage for RF power harvesting circuits. 2964-2967 - Song Han, Na Rong, Ting Sun, Jing Zhang:
An approach for estimating mode shape for participation of inter-area oscillation mode. 2968-2971 - Jan Kyncl, Adithya Hariram, Martin Novotný:
On measurement of synchronous phasors in electrical grids. 2972-2975 - Matteo Corno, Sergio M. Savaresi:
A diffusive electro-equivalent Li-ion battery model. 2976-2979 - Junjun Jiang, Ruimin Hu, Zhongyuan Wang, Zixiang Xiong, Zhen Han:
Support-driven sparse coding for face hallucination. 2980-2983 - Shih-Ming Huang, Jar-Ferr Yang:
Improved discriminant nearest feature space analysis for variable lighting face recognition. 2984-2987 - Wei Qi Yan, Jarrett Chambers:
An empirical approach for digital currency forensics. 2988-2991 - Chengzhou Tang, Ronggang Wang, Wenmin Wang:
Adaptive motion estimation order for frame rate up-conversion. 2992-2995 - Yuanfang Guo, Oscar C. Au, Ketan Tang, Jiahao Pang, Wenxiu Sun, Lingfeng Xu, Jiali Li, Xingyu Zhang:
Data hiding in error diffused color halftone images. 2996-2999 - Hong Zhu, Volkan Kursun:
Novel dual-threshold-voltage energy-efficient buffers for driving large extrinsic load capacitance. 3000-3003 - Lafifa Jamal, Hafiz Md. Hasan Babu:
Efficient approaches to design a reversible floating point divider. 3004-3007 - Ran Liao, Chriswell Hutchens, Robert L. Rennaker:
A 2μW digital baseband core for wireless Micro-Neural-Interface in 0.18μm CMOS. 3008-3011 - Muhammad Umar Karim Khan, Chong-Min Kyung:
Energy reduction of ultra-low voltage VLSI circuits by digit-serial architectures. 3012-3017 - Sundarrajan Rangachari, Nitin Chandrachoodan:
Scalable low power digital filter architectures for varying input dynamic range. 3018-3021 - Kok-Leong Chang, Tong Lin, Weng-Geng Ho, Kwen-Siong Chong, Bah-Hwee Gwee, Joseph S. Chang:
A dual-core 8051 microcontroller system based on synchronous-logic and asynchronous-logic. 3022-3025 - Chao-Yang Chang, Chung-Hsun Huang, Yuan-Sun Chu:
Efficient memory access methods for framebuffer-less video processing applications. 3026-3029 - Yuan Lin Yeoh, Bo Wang, Xiangyao Yu, Tony T. Kim:
A 0.4V 7T SRAM with write through virtual ground and ultra-fine grain power gating switches. 3030-3033 - S. Kala, Nalesh Sivanandan, Arka Maity, S. K. Nandy, Ranjani Narayan:
High throughput, low latency, memory optimized 64K point FFT architecture using novel radix-4 butterfly unit. 3034-3037 - Matthew Weber, Mateja Putic, Hang Zhang, John C. Lach, Jiawei Huang:
Balancing Adder for error tolerant applications. 3038-3041 - Dimitrios Schinianakis, Thanos Stouraitis:
Hardware-fault attack handling in RNS-based Montgomery multipliers. 3042-3045
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.