default search action
ISCAS 2010: Paris, France
- International Symposium on Circuits and Systems (ISCAS 2010), May 30 - June 2, 2010, Paris, France. IEEE 2010, ISBN 978-1-4244-5308-5
- Ming Liu, Qi Liu, Shibing Long, Weihua Guan:
Formation and annihilation of Cu conductive filament in the nonpolar resistive switching Cu/ZrO2: Cu/Pt ReRAM. 1-4 - Victor Erokhin:
Organic memristors : Basic principles. 5-8 - Davide Sacchetto, M. Haykel Ben Jamaa, Sandro Carrara, Giovanni De Micheli, Yusuf Leblebici:
Memristive devices fabricated with silicon nanowire schottky barrier transistors. 9-12 - Sung Hyun Jo, Kuk-Hwan Kim, Ting Chang, Siddharth Gaba, Wei Lu:
Si Memristive devices applied to memory and neuromorphic circuits. 13-16 - Shanthi Pavan:
Understanding weak loop filter nonlinearities in continuous time ΔΣ converters. 17-20 - Ahmed Ashry, Hassan Aboushady:
A generalized approach to design CT ΣΔMs based on FIR DAC. 21-24 - Chen-Yen Ho, Yung-Yu Lin, Tsung-Hsien Lin:
Dual-mode Continuous-Time Quadrature Bandpass ΔΣ modulator with Pseudo-random Quadrature mismatch shaping algorithm for Low-IF receiver application. 25-28 - Wagdy M. Gaber, Mootaz Allam, Hassan Aboushady, Marie-Minerve Louërat, El-Sayed Eid:
Systematic design of continuous-time ΣΔ modulator with VCO-based quantizer. 29-32 - J. Gerardo García-Sánchez, José M. de la Rosa:
Multirate hybrid CT/DT cascade ΣΔ modulators with decreasing OSR of back-end DT stages. 33-36 - Massimo Alioto, Paolo Bennati, Roberto Giorgi:
Exploiting locality to improve leakage reduction in embedded drowsy I-caches at same area/speed. 37-40 - Houman Zarrabi, Asim J. Al-Khalili, Yvon Savaria:
An interconnect-aware Dynamic Voltage Scaling scheme for DSM VLSI. 41-44 - Xiaoxiao Zhang, Amine Bermak, Farid Boussaïd:
Dynamic voltage and frequency scaling for low-power multi-precision reconfigurable multiplier. 45-48 - Mohammad Ghasemazar, Ehsan Pakbaznia, Massoud Pedram:
Minimizing energy consumption of a chip multiprocessor through simultaneous core consolidation and DVFS. 49-52 - Pritesh Vora, Masud H. Choudhary:
Prospects and implementation of Non-DVFS dynamic thermal management techniques. 53-56 - Yuan-Pei Lin, Yi-De Liu, See-May Phoong:
Finding the minimum sampling frequency of multi-band signals: An efficient iterative algorithm. 57-60 - Di He:
Breaking the SNR wall of spectrum sensing in cognitive radio by using the chaotic stochastic resonance. 61-64 - Navin Michael, A. Prasad Vinod, Christophe Moy, Jacques Palicot:
Design paradigm for standard agnostic channelization in flexible mobile radios. 65-68 - Yihu Xu, Myoung-Seob Lim:
Split-radix FFT pruning for the reduction of computational complexity in OFDM based Cognitive Radio system. 69-72 - Aziz S. Inan:
What did Gustav Robert Kirchhoff stumble upon 150 years ago? 73-76 - Joos Vandewalle:
Important questions related to the education of the mathematics of circuits and systems. 77-80 - Jan C. Willems:
Terminals and ports. 81-84 - John Hu, Mark Haffner, Samantha Yoder, Gursharan Reehal, Mark Scott, Mohammed Ismail:
An industry-driven laboratory development for mixed-signal IC test education. 85-88 - Abdulhadi Shoufan:
A compact course on VHDL-AMS. 89-92 - Yingxue Wang, Shih-Chii Liu:
Motion detection using an aVLSI network of spiking neurons. 93-96 - Srinjoy Mitra, Giacomo Indiveri, Ralph Etienne-Cummings:
Synthesis of log-domain integrators for silicon synapses with global parametric control. 97-100 - Sheng-Feng Yen, John G. Harris:
An adaptive neuron circuit for signal compression. 101-104 - Christian Mayr, Marko Noack, Johannes Partzsch, René Schüffny:
Replicating experimental spike and rate based neural learning in CMOS. 105-108 - Chenling Huang, Shantanu Chakrabartty:
A temperature compensated array of CMOS floating-gate analog memory. 109-112 - Hung-Chih Lin, Hsueh-Ming Hang:
Fast algorithm on selecting bi-directional prediction type in H.264/AVC scalable video coding. 113-116 - Xiaozheng Huang, Jie Liang, Hongfei Du, Jiangchuan Liu:
Lloyd-Max quantization-based priority index assignment for the scalable extension of H.264/AVC. 117-120 - Pei-Kuei Tsung, Hsin-Jung Yang, Pin-Chih Lin, Kuan-Yu Chen, Liang-Gee Chen:
Hybrid color compensation for virtual view synthesis in multiview video applications. 121-124 - Jui-Chiu Chiang, Kuan-Liang Chen, Chi-Ju Chou, Chang-Ming Lee, Wen-Nung Lie:
Block-based distributed video coding with variable block modes. 125-128 - Nelson Okafor, Bashar Zahawi, Damian Giaouris, Soumitro Banerjee:
Chaos, coexisting attractors, and fractal basin boundaries in DC drives with full-bridge converter. 129-132 - Kuntal Mandal, Soumitro Banerjee, Chandan Chakraborty:
Bifurcations in load resonant DC-DC converters. 133-136 - Marcus Rogerio De Castro, Bruno Gérard Michel Robert, Clément Goeldel:
Analysis of aperiodic and chaotic motions in a switched reluctance linear motor. 137-140 - Norberto Garcia:
Periodic steady-state solutions of nonlinear circuits based on a differentiation matrix. 141-144 - Jiantao Zhou, Oscar C. Au:
Cryptanalysis of chaotic convolutional coder. 145-148 - Renyan Zhou, Leibo Liu, Shouyi Yin, Ao Luo, Xinkai Chen, Shaojun Wei:
A VLSI design of sensor node for wireless image sensor network. 149-152 - Juan Núñez, Maria J. Avedillo, José M. Quintana:
Single phase MOS-NDR mobile networks. 153-156 - Naoya Onizawa, Takahiro Hanyu:
High-throughput protocol converter based on an independent encoding/decoding scheme for asynchronous Network-on-Chip. 157-160 - Mohammad Hosseinabady, José L. Núñez-Yáñez:
Effective modelling of large NoCs using SystemC. 161-164 - Zewen Shi, Kaidi You, Yan Ying, Bei Huang, Xiaoyang Zeng, Zhiyi Yu:
A scalable and fault-tolerant routing algorithm for NoCs. 165-168 - Wu-Sheng Lu, Takao Hinamoto:
Digital filters with sparse coefficients. 169-172 - Palghat P. Vaidyanathan, Ching-Chih Weng:
Active beamforming with interpolated FIR filterin. 173-176 - Dong Shi, Ya Jun Yu:
Low-complexity linear phase fir filters in cascade form. 177-180 - Anton Blad, Oscar Gustafsson:
Redundancy reduction for high-speed fir filter architectures based on carry-save adder trees. 181-184 - Chia-Yu Yao, Chung-Lin Sha:
Fixed-point FIR filter design and implementation in the expanding subexpression space. 185-188 - Norio Chujo, Takehito Kamimura, Goichi Ono, Fumio Yuki:
A 25 Gbps inductorless receiver front-end in 65-nm CMOS for serial links. 189-192 - Jiho Han, Hankyu Chi, Deog-Kyoon Jeong:
A clock synchronization system with IEEE 1588-2008 adapters over existing Gigabit Ethernet equipment. 193-196 - Yunzhi Dong, Kenneth W. Martin:
Analog front-end for a 3 Gb/s POF receiver. 197-200 - Hung-Wen Lin, Yingchieh Ho, YingLin Fa, Chauchin Su:
A 5Gb/s pulse signaling interface for low power on-chip data communication. 201-204 - Dustin Dunwell, Anthony Chan Carusone:
A 15-Gb/s preamplifier with 10-dB gain control and 8-mV sensitivity in 65-nm CMOS. 205-208 - Kimia Taghizadeh Ansari, Calvin Plett:
A low power ultra-wideband CMOS LNA for 3.1-10.6-GHz wireless receivers. 209-212 - Yonghui Ji, Ming Liu, Qin Wang, Shibing Long, Zhaoan Yu, Manhong Zhang:
A low power single ended input differential output low noise amplifier for L1/L2 band. 213-216 - Nihit Bajaj, Bert Vermeire, Bertan Bakkaloglu:
A 10MHz to 100MHz bandwidth scalable, fully differential current feedback amplifier. 217-220 - Juan Antonio Gómez Galán, Manuel Pedro, Carlos Rubia-Marcos, Ramón González Carvajal, Clara Isabel Luján-Martínez, Antonio J. López-Martín:
A low-voltage, high linear programmable triode transconductor. 221-224 - José María Algueta-Miguel, Antonio J. López-Martín, Jaime Ramírez-Angulo, Ramón González Carvajal:
Tunable rail-to-rail FGMOS transconductor. 225-228 - Robert Bogdan Staszewski:
State-of-the-art and future directions of high-performance all-digital frequency synthesis in nanometer CMOS. 229-232 - Paul-Peter Sotiriadis:
All-digital frequency and clock synthesis architectures from a signals and systems perspective, current state and future directions. 233-236 - Liming Xiu, Chen-Wei Huang, Ping Gui:
A comparative study between Fractional-N PLL and Flying-Adder PLL. 237-240 - Francesco Brandonisio, Franco Maloberti:
An all-digital PLL with a first order noise shaping Time-to-Digital Converter. 241-244 - Brian Fitzgibbon, Michael Peter Kennedy:
Calculation of the cycle length in a HK-MASH DDSM with multilevel quantizers. 245-248 - Luis A. Camuñas-Mesa, José Antonio Pérez-Carrasco, Carlos Zamarreño-Ramos, Teresa Serrano-Gotarredona, Bernabé Linares-Barranco:
On scalable spiking convnet hardware for cortex-like visual sensory processing systems. 249-252 - Yann LeCun, Koray Kavukcuoglu, Clément Farabet:
Convolutional networks and applications in vision. 253-256 - Clément Farabet, Berin Martini, Polina Akselrod, Selçuk Talay, Yann LeCun, Eugenio Culurciello:
Hardware accelerated convolutional neural networks for synthetic vision systems. 257-260 - Franck Mamalet, Sébastien Roux, Christophe Garcia:
Embedded facial image processing with Convolutional Neural Networks. 261-264 - Simon J. Thorpe, Adrien Brilhault, José Antonio Pérez-Carrasco:
Suggestions for a biologically inspired spiking retina using order-based coding. 265-268 - Benton H. Calhoun, Sudhanshu Khanna, Yanqing Zhang, Joseph F. Ryan, Brian P. Otis:
System design principles combining sub-threshold circuit and architectures with energy scavenging mechanisms. 269-272 - Chao Lu, Vijay Raghunathan, Kaushik Roy:
Maximum power point considerations in micro-scale solar energy harvesting systems. 273-276 - Ki Chul Chun, Pulkit Jain, Chris H. Kim:
Logic-compatible embedded DRAM design for memory intensive low power systems. 277-280 - Dongwon Kwon, Gabriel A. Rincón-Mora, Erick O. Torres:
Harvesting kinetic energy with switched-inductor DC-DC converters. 281-284 - Mingoo Seok, Scott Hanson, Michael Wieckowski, Gregory K. Chen, Yu-Shiang Lin, David T. Blaauw, Dennis Sylvester:
Circuit design advances to enable ubiquitous sensing environments. 285-288 - Luis Hernández, Jorge Fernández, Enrique Prefasi, Susana Patón:
A time encoded decimation filter for noise shaped power DACs. 289-292 - Hossein Pakniat, Mohammad Yavari, Reza Lotfi:
A digital background correction technique combined with DWA for DAC mismatch errors in multibit ΣΔ ADCs. 293-296 - Nima Maghari, Un-Ku Moon:
Precise area-controlled return-to-zero current steering DAC with reduced sensitivity to clock jitter. 297-300 - Nagendra Krishnapura:
Efficient determination of feedback DAC errors for digital correction in ΔΣ A/D converters. 301-304 - Chien-Hung Kuo, Hung-Jing Lai, Deng-Yao Shi:
A sixth-order 4-2 SMASH CIFF complex bandpass ΔΣ modulator with delaying digital input feedforward. 305-308 - Koji Sakui, Tetsuo Endoh:
A compact and low power logic design for multi-pillar vertical MOSFETs. 309-312 - Johannes Uhlig, Sebastian Höppner, Georg Ellguth, René Schüffny:
A low-power cell-based-design multi-port register file in 65nm CMOS technology. 313-316 - Fabio Frustaci, Stefania Perri, Marco Lanuzza, Pasquale Corsonello:
A new low-power high-speed single-clock-cycle binary comparator. 317-320 - Massimo Alioto, Elio Consoli, Gaetano Palumbo:
Clock distribution in clock domains with Dual-Edge-Triggered Flip-Flops to improve energy-efficiency. 321-324 - Rajeev K. Dokania, Xiao Y. Wang, Siddharth G. Tallur, Alyssa B. Apsel:
A 19μW, 100kbps Impulse Radio transceiver for body-area-networks. 325-328 - Yunfeng Chen, Jinhan Fan, Wei Li, Ning Li, Junyan Ren:
A current-mode 6-9GHz UWB transmitter with output power flattening technique. 329-332 - Silvia Soldà, Michele Caruso, Daniele Vogrig, Andrea Bevilacqua, Andrea Gerosa, Andrea Neviani:
Low-power UWB transmitter using a combined mixer and power amplifier. 333-336 - Géza Kolumbán, Tamás Krébesz, Chi Kong Tse, Francis Chung-Ming Lau:
Derivation of circuit specification for the UWB impulse radio transceivers. 337-340 - Kamel Elkhenissi, Maxim Cournoyer, Dominic Deslandes, Frederic Nabki:
A transmitted-reference low-power reconfigurable ultra-wideband transmitter. 341-344 - Konstantin Nikolic, Chris Toumazou:
A bio-inspired ultrasensitive imaging chip - Phase one: Design paradigm. 345-348 - Raphael Berner, Tobi Delbrück:
Event-based color change pixel in standard CMOS. 349-352 - Sylvain Léomant, Xiajun Wu, Amine Bermak:
A single bit memory per pixel time domain DPS using multi-reset integration scheme. 353-356 - Danial Chitnis, Steve Collins:
Compact readout circuits for SPAD arrays. 357-360 - Daniel Matolin, Rainer Wohlgenannt, Martin Litzenberger, Christoph Posch:
A load-balancing readout method for large event-based PWM imaging arrays. 361-364 - Katherine L. Cameron, Thomas F. Clayton, Bruce Rae, Alan F. Murray, Robert K. Henderson, Edoardo Charbon:
Poisson distributed noise generation for spiking neural applications. 365-368 - Shubha Ramakrishnan, Paul E. Hasler, Christal Gordon:
Floating gate synapses with spike time dependent plasticity. 369-372 - Xinxin Wang, Bertram E. Shi:
GPU implemention of fast Gabor filters. 373-376 - Bilel Belhadj, Jean Tomas, Olivia Malot, Yannick Bornat, Gilles N'Kaoua, Sylvie Renaud:
Guaranteeing spike arrival time in multiboard & multichip spiking neural networks. 377-380 - Yiping Dong, Zhen Lin, Yan Li, Takahiro Watanabe:
High performance implementation of Neural Networks by networks on chip with 5-port 2-virtual channels. 381-384 - Jun Zhang, Xiang Li, Nam Ling, Jianhua Zheng, Philipp Zhang:
Prediction-based macroblock mode mapping for video coding. 385-388 - Bingqiang Zhu, Da An, Yaocheng Rong, Yun He:
A VLSI architecture of cost calculation and all-zero block detection for fractional motion estimation. 389-392 - Yi-Hsin Huang, Tao-Sheng Ou, Homer H. Chen:
Perceptual-based coding mode decision. 393-396 - Wenpeng Ding, You Zhou, Feng Wu:
Fast mode decision for KTA software. 397-400 - Liu Song, Dajiang Zhou, Xin Jin, Satoshi Goto, Peilin Liu:
An adaptive bandwidth reduction scheme for video coding. 401-404 - Zhihai Rong, Han-Xin Yang, Wen-Xu Wang:
Effect of clustering coefficient on cooperation in scale-free public goods game. 405-408 - Yang Yang, Xiang Li, Zhihai Rong:
The roles of small-world and degree heterogeneity on evolutionary behavior networks. 409-412 - Daniel Trpevski, Wallace Kit-Sang Tang, Ljupco Kocarev:
An opinion disseminating model for market penetration in social networks. 413-416 - Housheng Su, Zhihai Rong, Xiaofan Wang, Guanrong Chen:
On decentralized adaptive pinning synchronization of complex dynamical networks. 417-420 - Juan Chen, Junan Lu, Xiaoqun Wu, Wei Xing Zheng:
Impulsive synchronization on complex networks of nonlinear dynamical systems. 421-424 - Ülkühan Güler, Salih Ergün:
A high speed IC Random Number Generator based on phase noise in ring oscillators. 425-428 - Nathan Schemm, Sina Balkir, Sharad C. Seth:
Hardware implementation of the double-tree scan architecture. 429-432 - Satendra Kumar Maurya, Lawrence T. Clark:
Fast and scalable priority encoding using static CMOS. 433-436 - Gong-Han Chen, Chu-Chuan Lin, Po-Han Wu, Jiann-Chyi Rau:
Multi-cycle compress technique for high-speed IP in low-cost environment. 437-440 - Jianwei Chen, Hongchin Lin, Yun-Ching Tang:
Efficient high-throughput architectures for high-speed parallel scramblers. 441-444 - Tian-Bo Deng:
Wide-band length-6 cubic interpolator. 445-448 - Yuval Bistritz, Yaron Segalov:
Fraction-free inversion of a Toeplitz matrix. 449-452 - Junyoung Park, Seungjin Lee, Hoi-Jun Yoo:
A 30fps stereo matching processor based on belief propagation with disparity-parallel PE array architecture. 453-456 - Mathias Faust, Chip-Hong Chang:
Minimal Logic Depth adder tree optimization for Multiple Constant Multiplication. 457-460 - Mei Leng, Yik-Chung Wu:
On joint synchronization of clock offset and skew for Wireless Sensor Networks under exponential delay. 461-464 - Jiangli Zhu, Xinmiao Zhang:
High-speed re-encoder design for algebraic soft-decision Reed-Solomon decoding. 465-468 - Jinjin He, Zhongfeng Wang, Huaping Liu:
Memory-reduced MAP decoding for double-binary convolutional Turbo code. 469-472 - Zhixiang Chen, Xiongxin Zhao, Xiao Peng, Dajiang Zhou, Satoshi Goto:
An early stopping criterion for decoding LDPC codes in WiMAX and WiFi standards. 473-476 - Bongjin Kim, Hasan Ahmed, In-Cheol Park:
Dual-rail decoding of low-density parity-check codes. 477-480 - Shuai Zhou, Jin Sha, Li Li, Zhongfeng Wang:
Layered decoding for non-binary LDPC codes. 481-484 - Inshad Chowdhury, Dongsheng Ma, Fred Highton, Paul Prazak:
Monolithic CMOS HD radio: Architecture design and front-end implementation. 485-488 - Jens Masuch, Manuel Delgado-Restituto:
Low power 2.4 GHz quadrature generation for body area network applications. 493-496 - Yousif Shamsa, Wouter A. Serdijn:
A 21pJ/pulse FCC compliant UWB pulse generator. 497-500 - Anant S. Kamath, Biman Chattopadhyay:
A 13MHz input, 480MHz output Fractional Phase Lock Loop with 1MHz bandwidth. 501-504 - Shih-Chii Liu, Nima Mesgarani, John G. Harris, Hynek Hermansky:
The use of spike-based representations for hardware audition systems. 505-508 - Trevor R. Agus, Clara Suied, Simon J. Thorpe, Daniel Pressnitzer:
Characteristics of human voice processing. 509-512 - Shantanu Chakrabartty, Shih-Chii Liu:
Exploiting spike-based dynamics in a silicon cochlea for speaker identification. 513-516 - John G. Harris, Yukun Feng:
Mean firing rate spike representations for speech recognition. 517-520 - Michiel Hermans, Benjamin Schrauwen:
One step Backpropagation Through Time for learning input mapping in reservoir computing applied to speech recognition. 521-524 - Fabíolo Moraes Amaral, Luís F. C. Alberto:
Robustness of stability regions of nonlinear circuits and systems under parameter variation. 525-528 - Nikos G. Sakellaridis, Costas D. Vournas:
Critical load-shedding time calculation based on region of attraction limits. 529-532 - Ian A. Hiskens:
Trajectory approximation near the stability boundary. 533-536 - Jianzhong Tong, Hsiao-Dong Chiang, Yasuyuki Tada:
On-line power system stability screening of practical power system models using TEPCO-BCU. 537-540 - Heinz Koeppl, Gianluca Setti, Serge Pelet, Mauro Mangia, Tatjana Petrov, Matthias Peter:
Probability metrics to calibrate stochastic chemical kinetics. 541-544 - Shridhar Jayanthi, Domitilla Del Vecchio:
Design of an insulation device using phosphotransfer systems. 545-548 - Casian Pantea, Gheorghe Craciun:
Computational methods for analyzing bistability in biochemical reaction networks. 549-552 - Chris Winstead, Curtis Madsen, Chris J. Myers:
iSSA: An incremental stochastic simulation algorithm for genetic circuits. 553-556 - Douglas Densmore, Joshua T. Kittleson, Lesia Bilitchenko, Adam Liu, J. Christopher Anderson:
Rule based constraints for the construction of genetic devices. 557-560 - Shahzad Saleem, Christian Vogel:
Adaptive compensation of frequency response mismatches in high-resolution time-interleaved ADCs using a low-resolution ADC and a time-varying filter. 561-564 - Jinzhou Cao, Gabor C. Temes:
Radix-based digital correction technique for two-capacitor DACs. 565-568 - Hussein Adel, Mohamed Dessouky, Marie-Minerve Louërat, Hugo Gicquel, Hisham Haddara:
Foreground digital calibration of non-linear errors in pipelined A/D converters. 569-572 - Tao Zeng, Degang Chen:
New calibration technique for current-steering DACs. 573-576 - Zhiguo Song, Caroline Lelandais-Perrault, Daniel Poulton, Philippe Bénabès:
Synthesis of Subband Hybrid Filter Banks ADCs with finite word-length coefficients using adaptive equalization. 577-580 - Yu-Hao Hsu, Yang-Syu Lin, Ching-Te Chiu, Jen-Ming Wu, Shuo-Hung Hsu, Fanta Chen, Min-Sheng Kao, Yarsun Hsu:
A 32Gbps low propagation delay 4×4 switch IC for feedback-based system in 0.13μm CMOS technology. 581-584 - Yi-Ming Lin, Wan-Ching Liu, Li-Yuan Chang, Chih-Yuan Lien, Pei-Yin Chen, Shung-Chih Chen:
A low-power IP design of Viterbi decoder with dynamic threshold setting. 585-588 - Patrick Maechler, Pierre Greisen, Norbert Felber, Andreas Burg:
Matching pursuit: Evaluation and implementatio for LTE channel estimation. 589-592 - Dimpesh Patel, Vadim Smolyakov, Mahdi Shabany, P. Glenn Gulak:
VLSI implementation of a WiMAX/LTE compliant low-complexity high-throughput soft-output K-Best MIMO detector. 593-596 - Chen Wang, Qinye Yin, Wenjie Wang, Jingjing Zhang, Haixia Liu:
A simple energy efficient transceiver for IEEE 802.15.4. 597-600 - Peijun Liu, Xueqiang Wang, Dong Wu, Zhigang Zhang, Liyang Pan:
A novel high-speed and low-power negative voltage level shifter for low voltage applications. 601-604 - Edward K. F. Lee:
High-voltage rectifier and voltage doubler in conventional 0.18μm CMOS process. 605-608 - Wei-Chih Hsieh, Wei Hwang:
Low quiescent current variable output digital controlled voltage regulator. 609-612 - Toni López, Eduard Alarcón, Francisco Guinjoan, Alberto Poveda:
Takagi-Sugeno fuzzy model to approximate MOSFET capacitance for VRM applications. 613-616 - Rajdeep Bondade, Dongsheng Ma:
Hardware-software co-design of an embedded power management module with adaptive on-chip power processing schemes. 617-620 - Mukul Sarkar, David San Segundo Bello, Chris Van Hoof, Albert J. P. Theuwissen:
Integrated polarization-analyzing CMOS image sensor. 621-624 - Norihiro Takahashi, Tadashi Shibata:
A row-parallel cyclic-line-access edge detection CMOS image sensor employing global thresholding operation. 625-628 - Viktor Gruev, Rob Perkins:
A 1 MPixel CCD image sensor with aluminum nanowire polarization filter. 629-632 - Yu M. Chi, Gary Carhart, Mikhail A. Vorontsov, Gert Cauwenberghs:
Intensity histogram CMOS image sensor for adaptive optics. 633-636 - Xiaojin Zhao, Amine Bermak, Farid Boussaïd, Vladimir G. Chigrinov:
Liquid-crystal micropolarimeter array for visible linear and circular polarization imaging. 637-640 - André Sülflow, Görschwin Fey, Rolf Drechsler:
Using QBF to increase accuracy of SAT-based debugging. 641-644 - Sebastian Steinhorst, Lars Hedrich:
Improving verification coverage of analog circuit blocks by state space-guided transient simulation. 645-648 - Stephan Eggersglüß, Daniel Tille, Rolf Drechsler:
Efficient test generation with maximal crosstalk-induced noise using unconstrained aggressor excitation. 649-652 - Raimund Ubar, Dmitri Mironov, Jaan Raik, Artur Jutman:
Fault collapsing with linear complexity in digital circuits. 653-656 - Ho-Yong Choi, Kewal K. Saluja:
Detection of inter-port bridging faults in dual-port memories. 657-660 - Li-Chuan Chang, Chih-Hung Kuo, Bin-Da Liu:
Low complexity MAD prediction algorithms for rate controllable H.264/AVC hardware encoders. 661-664 - Yu-Chen Chen, Gwo-Long Li, Tian-Sheuan Chang:
Efficient inter-layer prediction hardware design with extended spatial scalability for H.264/AVC scalable extension. 665-668 - Hai Bing Yin, Honggang Qi, Huizhu Jia, Don Xie, Wen Gao:
Efficient macroblock pipeline structure in high definition AVS video encoder VLSI architecture. 669-672 - Minmin Shen, Ping Xue, Ci Wang:
Down-sampling based video coding with super-resolution technique. 673-676 - Xuena Bao, Dajiang Zhou, Satoshi Goto:
A lossless frame recompression scheme for reducing DRAM power in video encoding. 677-680 - Igor Mishkovski, Marco Righero, Mario Biey, Ljupco Kocarev:
Building synchronizable and robust networks. 681-684 - Pietro De Lellis, Mario di Bernardo, Luiz Felipe R. Turci:
Fully adaptive pinning control of complex networks. 685-688 - Dabo Xu, Jie Huang:
Robust adaptive control of a class of nonlinear systems by internal model design. 689-692 - Zbigniew Galias:
Basins of attraction for periodic solutions of discretized sliding mode control systems. 693-696 - Ali Ajdari Rad, Martin Hasler, Parham Moradi:
Automatic skill acquisition in Reinforcement Learning using connection graph stability centrality. 697-700 - Yu Zhang, Dongdong Chen, Younhee Choi, Li Chen, Seok-Bum Ko:
A high performance pseudo-multi-core ECC processor over GF(2163). 701-704 - Manas Ranjan Meher, Ching-Chuen Jong, Chip-Hong Chang, Jeremy Yung Shern Low:
A novel counter-based low complexity inner-product architecture for high speed inputs. 705-708 - Ingo Rust, Tobias G. Noll:
A radix-4 single-precision floating point divider based on digit set interleaving. 709-712 - Wen-Ching Lin, Ming-Der Shieh, Chien-Ming Wu:
Design of high-speed bit-serial divider in GF(2m). 713-716 - Ramya Muralidharan, Chip-Hong Chang:
Fast hard multiple generators for radix-8 Booth encoded modulo 2n-1 and modulo 2n+1 multipliers. 717-720 - Yuzhe Liu, Peter H. Bauer:
Frequency domain limitations of non-negative impulse response non-lowpass filters. 721-724 - Gang Li, Yong Ching Lim, Chaogeng Huang, Shuqin Guo:
Novel low complexity lattice filters with overflow property close to the normalized lattice. 725-728 - Shunsuke Yamaki, Masahide Abe, Masayuki Kawamata:
Analytical synthesis of minimum L2-sensitivity realizations of all-pass digital filters. 729-732 - Wei Jing Xu, Ya Jun Yu:
Polynomial implementation structure for lagrange-type variable fractional delay filters. 733-736 - Soo-Chang Pei, Huei-Shan Lin:
Design of IIR allpass fractional-delay fractional Hilbert transformer using complex cepstrum. 737-740 - Wen-Chieh Wang, Zue-Der Huang, Geert Carchon, Abdelkarim Mercha, Stefaan Decoutere, Walter De Raedt, Chung-Yu Wu:
45-nm Planar bulk-CMOS 23-GHz LNAs with high-Q above-IC inductors. 741-744 - Tero Tikka, Jussi Ryynänen:
30-39GHz 2Gbit/s ring oscillator based OOK-modulator for chip-to-chip communications. 745-748 - Muhammad Adnan, Ehsan Afshari:
Bandwidth enhancement of passive filters at mm-wave frequencies using effective negative group index (NGI) structures. 749-752 - Leland Gilreath, Vipul Jam, Payam Heydan:
A W-band LNA in 0.18-μm SiGe BiCMOS. 753-756 - Samira Bashiri, Calvin Plett, Jorge Aguirre, Peter Schvan:
A 40 Gb/s transimpedance amplifier in 65 nm CMOS. 757-760 - Sebastian Höppner, René Schüffny, Zuo-Min Tsai, Huei Wang:
Wide swing signal amplification by SC voltage doubling. 761-764 - Massimiliano Belloni, Edoardo Bonizzoni, Franco Maloberti, Andrea Fornasari:
Low-power ripple-free chopper amplifier with correlated double sampling de-chopping. 765-768 - Alexandre Huffenus, Gaël Pillonnet, Nacer Abouchi, Frédéric Goutti, Vincent Rabary, Cécile Specq:
A phase-shift self-oscillating stereo class-D amplifier for battery-powered applications. 769-772 - Jaime Ramírez-Angulo, Belén Calvo, Ramón González Carvajal, Antonio J. López-Martín:
Low-voltage gm-enhanced CMOS differential pairs using positive feedback. 773-776 - Davide Marano, Gaetano Palumbo, Salvatore Pennisi:
Analytical figure of merit evaluation of RNMC networks for low-power three-stage OTAs. 777-780 - Takashi Morie, Yilai Sun, Haichao Liang, Makoto Igarashi, Chi-Hsien Huang, Seiji Samukawa:
A 2-dimensional Si nanodisk array structure for spiking neuron models. 781-784 - Andrea Calimera, Enrico Macii, Massimo Poncino:
Analysis of NBTI-induced SNM degradation in power-gated SRAM cells. 785-788 - Jung H. Cho, Mark G. Arnold:
Dual-stylus-arm scratch drive micro-robots controlled by a communication channel. 789-792 - Ju-Ya Chen:
Blind carrier frequency offset estimation for OFDM systems by probability density function. 793-796 - Amin Fazel, Shantanu Chakrabartty:
Sigma-delta learning for super-resolution source separation on high-density microphone arrays. 797-800 - Kiyotaka Kohno, Mitsuru Kawamoto, Yujiro Inouye:
A block-based adaptive super-exponential deflation algorithm for blind deconvolution of MIMO systems using the matrix pseudo-inversion lemma. 801-804 - Xianfeng Xu, Da-Zheng Feng, Wei Xing Zheng:
A joint block diagonalization approach to convolutive blind source separation. 805-808 - Srinivas Yerramalli, Urbashi Mitra:
Blind resampling parameter estimation for doubly selective underwater acoustic channels (Invited Paper). 809-812 - Mikko Valkama, Andreas Springer, Gernot Hueber:
Digital signal processing for reducing the effects of RF imperfections in radio devices - An overview. 813-816 - Khurram Waheed, John Kilpatrick, Greg Sheets, Geoff Dawe:
Softransceiver transmit origin offset compensation: Digital to the rescue of RF-CMOS. 817-820 - Joy Laskar, Kyutae Lim, Joonhoi Hur, Kihyun W. Kim, Ockgoo Lee, Chang-Ho Lee:
Emerging multi-level architectures and unbalanced mismatch calibration technique for high-efficient and high-linear LINC systems. 821-824 - Saul Rodriguez, Sha Tao, Mohammed Ismail, Ana Rusu:
An IIP2 digital calibration technique for passive CMOS down-converters. 825-828 - Zaid J. Towfic, Shang-Kee Ting, Ali H. Sayed:
Sampling clock jitter estimation and compensation in ADC circuits. 829-832 - Kimio Shibata, Cong-Kha Pham:
A DC-DC Converter using a high speed soft-start control circuit. 833-836 - Jader A. De Lima, Wallace A. Pimenta:
A resistor-less overload detector for dc/dc linear regulators. 837-840 - Joseph Shor:
Low noise linear voltage regulator for use as an on-chip PLL supply in microprocessors. 841-844 - Wei Liu, Waleed Khalil, Mohammed Ismail, Edith Kussener:
A resistor-free temperature-compensated CMOS current reference. 845-848 - Hamed Aminzadeh, Reza Lotfi, Khalil Mafinezhad:
Low-dropout voltage reference: An approach to buffered architectures with low sensitivity. 849-852 - Fabio Cancare, Marco D. Santambrogio, Donatella Sciuto:
A direct bitstream manipulation approach for Virtex4-based evolvable systems. 853-856 - Luiz Carlos Gouveia, Thomas Jacob Koickal, Alister Hamilton:
Computation in communication: Spike event coding for programmable analog arrays. 857-860 - Brian P. Degnan, Brian J. Duffy, Paul E. Hasler:
Crossbar switch matrix for floating-gate programming over large current ranges. 861-864 - M. Di Marzio, Michelangelo Grosso, Matteo Sonza Reorda, Luca Sterpone, G. Audisio, Marco Sabatini:
A novel scalable and reconfigurable emulation platform for embedded systems verification. 865-868 - Cristiano Lazzari, Paulo F. Flores, José Monteiro, Luigi Carro:
Voltage-mode quaternary FPGAs: An evaluation of interconnections. 869-872 - Qiang Li, Renyuan Zhang, Zhangcai Huang, Yasuaki Inoue:
A low voltage CMOS rectifier for wirelessly powered devices. 873-876 - Omar Al-Terkawi Hasib, Mohamad Sawan, Yvon Savaria:
Fully integrated ultra-low-power asynchronously driven step-down DC-DC converter. 877-880 - Jordi Marchán, Eduard Barba, Lázaro Marco, Dragan Maksimovic, Eduard Alarcón:
Circuit/system design space characterization of EER-based transmitter for 802.11a WLAN standard. 881-884 - Elisenda Bou, Eduard Alarcón, Alvar Saenz-Otero, Christophe Mandy:
Translayer optimized co-design of in-space microwave based wireless power transfer. 885-888 - Christian Peters, Jonas Handwerker, Dominic Maurath, Yiannos Manoli:
An ultra-low-voltage active rectifier for energy harvesting applications. 889-892 - Ravinder S. Dahiya, Leandro Lorenzelli, Giorgio Metta, Maurizio Valle:
POSFET devices based tactile sensing arrays. 893-896 - Shi-Wen Chen, Ming-Hung Chang, Wei-Chih Hsieh, Wei Hwang:
Fully on-chip temperature, process, and voltage sensors. 897-900 - Chenxu Zhao, Tom J. Kazmierski:
Genetic-based automated synthesis and optimization of MEMS accelerometers with sigma-delta control. 901-904 - Gerald Zach, Milos Davidovic, Horst Zimmermann:
Dual-line distance sensor with on-chip phase generator and suppression of ambient light. 905-908 - Xiao Yun, Milutin Stanacevic, Serge Luryi:
Low-power charge sensitive amplifier for semiconductor scintillator. 909-912 - Wei Zhong, Song Chen, Takeshi Yoshimura:
Whitespace insertion for through-silicon via planning on 3-D SoCs. 913-916 - Won Ha Choi, Xun Liu:
Case Study: GPU-based implementation of sequence pair based floorplanning using CUDA. 917-920 - Akira Ohchi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki:
Performance-driven high-level synthesis with floorplan for GDR architectures and its evaluation. 921-924 - Hiroshi Saito, Naohiro Hamada, Tomohiro Yoneda, Takashi Nanya:
A floorplan method for asynchronous circuits with bundled-data implementation on FPGAs. 925-928 - Jing Li, Bo Yang, Qing Dong, Shigetoshi Nakatake:
Post-placement STI well width adjusting by geometric programming for device mobility enhancement in critical path. 929-932 - Jeff Siu-Kei Au-Yeung, Shuyuan Zhu, Bing Zeng:
Partial video encryption based on alternative integer transforms. 933-936 - Shuyuan Zhu, Jiying Wu, Bing Zeng:
Composing better pictures in MDC: A multi-target total variational approach. 937-940 - Mou Xiao, Pin Tao, Jianwen Chen, Wenting Wu, Jiangtao Wen:
Macroblock level hybrid temporal-spatial prediction for H.264/AVC. 941-944 - Zhengyi Luo, Li Song, Shibao Zheng:
Improving H.264/AVC video coding with adaptive coefficient suppression. 945-948 - Li-Li Wang, Wan-Chi Siu:
Improved hybrid coding scheme for intra 4×4 residual block produced by H.264/AVC. 949-952 - Wei Tang, Chenxi Huang, Dongsoo Kim, Berin Martini, Eugenio Culurciello:
4-Channel asynchronous bio-potential recording system. 953-956 - Harry Oppenheim, Robert S. Armiger, R. Jacob Vogelstein:
WiiEMG: A real-time environment for control of the Wii with surface electromyography. 957-960 - Sébastien Ethier, Mohamad Sawan, Mourad N. El-Gamal:
A novel energy-efficient stimuli generator for very-high impedance intracortical microstimulation. 961-964 - Xiao Liu, Andreas Demosthenous, Iasonas F. Triantis, Nick Donaldson:
A current generator circuit for tripolar stimulation and insensitive to temperature and supply variations. 965-968 - Wannaya Ngamkham, Chutham Sawigun, Senad Hiseni, Wouter A. Serdijn:
Analog complex gammatone filter for cochlear implant channels. 969-972 - Mahmoud Ouda, Emad Hegazi, Hani Fikry Ragai:
Digital enhancement of frequency synthesizers. 973-976 - Behzad Mesgarzadeh, Atila Alvandpour:
EMI reduction by resonant clock distribution networks. 977-980 - Sohan Purohit, David Harrington, Martin Margala:
An area efficient design methodology for SEU tolerant digital circuits. 981-984 - Hamed Abrishami, Safar Hatami, Massoud Pedram:
Analysis and optimization of sequential circuit element to combat single-event timing upsets. 985-988 - Ming-Dou Ker, Che-Lun Hsu, Wen-Yi Chen:
ESD protection circuit for high-voltage CMOS ICs with improved immunity against transient-induced latchup. 989-992 - Soo-Chang Pei, Huei-Shan Lin:
Two-dimensional partially differential cepstrum and minimum-phase sequence construction. 993-996 - Huiling Xu, Zhiping Lin, Anamitra Makur:
Non-fragile H∞ filter design for polytopic 2-D systems in Fornasini- Marchesini model. 997-1000 - Jörg Velten, Sam Schauland, Anton Kummert, Krzysztof Galkowski:
Application specific stability of 2-D Roesser model realizations. 1001-1004 - Arjuna Madanayake, Leonard T. Bruton:
Multidimensional raster-scanned LC-ladder wave-digital filter hardware for directional filtering in space-time. 1005-1008 - Natsuko Shiratori, Shi Yan, Hsin-Jang Shieh, Li Xu:
State-space formulation of n-variable bilinear transformation for n-D systems. 1009-1012 - Johan H. C. van den Heuvel, Jean-Paul M. G. Linnartz, Peter G. M. Baltus:
Optimizing throughput for limited receiver circuit power. 1013-1016 - Corinne Berland, Jean-François Bercher, Olivier Venard:
Gain and delay mismatches cancellation in LINC and polar transmitters. 1017-1020 - Bijoy Bhukania, Sthanunathan Ramakrishnan, Yogesh Darwhekar:
IQ mismatch compensation using time domain signal processing: A practical approach. 1021-1024 - Amine Mezghani, Josef A. Nossek:
How to choose the ADC resolution for short range low power communication? 1025-1028 - Minwei Lu, Naresh R. Shanbhag, Andrew C. Singer:
BER-optimal analog-to-digital converters for communication links. 1029-1032 - Sanghoon Park, Vincent W. Leung, Lawrence E. Larson:
An improved wide-dynamic range tunable RF interference suppression notch filter. 1033-1036 - Todd J. Freeborn, Brent Maundy, Ahmed S. Elwakil:
Towards the realization of fractional step filters. 1037-1040 - Pipat Prommee, Montri Somdunyakanok, Krit Angkeaw, Kobchai Dejhan:
Tunable current-mode log-domain universal filter. 1041-1044 - Pipat Prommee, Montri Somdunyakanok, Sompongse Toomsawasdi:
CMOS-based current-controlled DDCC and its applications. 1045-1048 - Vratislav Michal, Geoffroy Klisnick, Gérard Sou, Michel Redon, Jirí Sedlácek:
Current conveyor with very low output impedance voltage buffer for laboratory instrumentation. 1049-1052 - Takashi Sato, Takumi Uezono, Noriaki Nakayama, Kazuya Masu:
Decomposition of drain-current variation into gain-factor and threshold voltage variations. 1053-1056 - Xuan Zhang, Rajeev K. Dokania, Mustansir Yunus Mukadam, Alyssa B. Apsel:
A successive approximation based process-invariant ring oscillator. 1057-1060 - Chih-Hsing Lin, Yung-Chang Chang, Wen-Chih Huang, Wei-Chih Lai, Ching-Te Chiu, Jen-Ming Wu, Shuo-Hung Hsu, Chun-Ming Huang, Chih-Chyau Yang, Shih-Lun Chen:
A packet-based emulating platform with serializer/deserializer interface for heterogeneous IP verification. 1061-1064 - Francesco Zanini, David Atienza, Colin N. Jones, Giovanni De Micheli:
Temperature sensor placement in thermal management systems for MPSoCs. 1065-1068 - Luis Hernández, Andreas Wiesbauer:
Exploiting time resolution in nanometre CMOS data converters. 1069-1072 - Sotir Ouzounov:
On the characterization of limit cycle modes in oversampled data converters. 1073-1076 - Arthur H. M. van Roermund, Foad Arfaei Malekzadeh, Mehdi Sarkeshi, Reza Mahmoudi:
Extended modelling for time-encoding converters. 1077-1080 - Francisco Colodro Ruiz, Antonio Jesús Torralba Silgado:
Pulse-width modulation in sigma-delta modulators. 1081-1084 - Jorg Daniels, Wim Dehaene, Michiel Steyaert:
All-digital differential VCO-based A/D conversion. 1085-1088 - Omid Salehi-Abari, Calvin Plett:
A differential 5th derivative Gaussian pulse generator for UWB transceivers. 1089-1092 - Subhanshu Gupta, Daibashish Gangopadhyay, David J. Allstot:
A Mode-I/Mode-III UWB LNA with programmable gain and 20 dB WLAN blocker rejection in 130nm CMOS. 1093-1096 - Tamás Krébesz, Géza Kolumbán, Chi Kong Tse, Francis Chung-Ming Lau:
Gated threshold compensated noncoherent PPM receiver for UWB impulse radio. 1097-1100 - Andrea Gerosa, Silvia Soldà, Andrea Bevilacqua, Daniele Vogrig, Andrea Neviani:
A digitally programmable ring oscillator in the UWB range. 1101-1104 - Paul T. M. van Zeijl, Manel Collados:
The theoretical efficiency in digital envelope power amplifiers for WLAN OFDM polar transmitters. 1105-1108 - Qi Zhang, Peng Feng, Shenghua Zhou, Zhiqing Geng, Nanjian Wu:
A novel RFID tag chip with temperature sensor in standard CMOS process. 1109-1112 - Gui Liu, Roc Berenguer, Abe Akhiyat, Keya Kamtikar, Yang Xu:
Configurable MCPW based inductor for mm-wave circuits and systems. 1113-1116 - Chun-Pang Wu, Hen-Wai Tsao, Jingshown Wu:
A novel sigma-delta fractional-N synthesizer architecture with fractional spur and quantization noise cancellation. 1117-1120 - Pinping Sun, Guoan Wang, Wayne H. Woods, Hailing Wang, Ya Jun Yu:
An adaptive body-bias low voltage low power LC VCO. 1121-1124 - Mikko Kaltiokallio, Jussi Ryynänen, Saska Lindfors:
Active polyphase filter analysis. 1125-1128 - Guorong Xuan, Yun Q. Shi, Jianzhong Teng, Xuefeng Tong, Peiqi Chai:
Double-threshold reversible data hiding. 1129-1132 - Jing-Ming Guo, Jyun-Hao Huang:
Data hiding in halftone images with secret-shared dot diffusion. 1133-1136 - Hyang-Mi Yoo, Sang-Kwang Lee, Jae-Won Suh:
High capacity reversible data hiding using the histogram modification of block image. 1137-1140 - Yu-Lin Wang, Wei-Hsiang Liao, Alvin Wen-Yu Su:
A SOT based digital audio coder using reference frame ordering method. 1141-1144 - Wenbo Xu, Zhiqiang He, Kai Niu, Jiaru Lin:
Sub-Sampling Framework of Distributed Video Coding. 1145-1148 - Yu-Hsuan Lee, Yi-Cheng Chen, Tsung-Han Tsai:
A bandwidth-efficient embedded compression algorithm using two-level rate control scheme for video coding system. 1149-1152 - Tongsheng Geng, Leibo Liu, Shouyi Yin, Min Zhu, Wen Jia, Shaojun Wei:
Parallel implementation of computing-intensive decoding algorithms of H.264 on reconfigurable SoC. 1153-1156 - Shengkui Zhao, Jianfei Cai, Zhihong Man:
Nonlinear image restoration using recurrent radial basis function network. 1161-1164 - Hsin-Jung Chen, Feng-Hsiang Lo, Fu-Chiang Jan, Sheng-Dong Wu:
Real-time multi-view rendering architecture for autostereoscopic displays. 1165-1168 - Chih-Yuan Lien, Pei-Yin Chen, Li-Yuan Chang, Yi-Ming Lin, Po-Kai Chang:
An efficient denoising chip for the removal of impulse noise. 1169-1172 - Wenyuan Yin, Jiebo Luo, Chang Wen Chen:
Semantic adaptation of consumer photo for mobile device access. 1173-1176 - Ho Il Bang, Ji Ho Choi, Myung Hoon Sunwoo:
An efficient skipping method of H.264/AVC weighted prediction for various illuminating effects. 1177-1180 - Giancarlo Orengo, Giovanni Saggio, Stefano Bocchetti, Franco Giannini:
Advanced characterization of piezoresistive sensors for human body movement tracking. 1181-1184 - Carlos Leong, João Paulo Teixeira, Isabel C. Teixeira, Ricardo Bugalho, Manuel Ferreira, Pedro Miguel Rodrigues, José C. Silva, Pedro Lousã, João Varela:
Automatic Configuration of a Medical Imaging System to Unknown Delays in Synchronous Input Data Channels. 1185-1188 - Kavitha P. Thomas, Cuntai Guan, Chiew Tong Lau, A. Prasad Vinod:
A Study on the impact of spectral variability in brain-computer interface. 1189-1192 - Elliot Greenwald, Mohsen Mollazadeh, Nitish V. Thakor, Wei Tang, Eugenio Culurciello:
A VLSI neural monitoring system with ultra-wideband telemetry for awake behaving subjects. 1193-1196 - Fathi M. Salem:
Ultra-high speed atomic force microscopy: Video-rate and beyond. 1197-1200 - Gaurav Bawa, Alex Q. Huang, Maysam Ghovanloo:
An efficient 13.56 MHz active back-telemetry rectifier in standard CMOS technology. 1201-1204 - Kihyun Kim, Sungho Lee, Eunil Cho, Junghee Choi, Sangwook Nam:
Design of OOK system for wireless capsule endoscopy. 1205-1208 - José Rui Custódio, João Pedro Oliveira, Luís B. Oliveira, João Goes, Erik Bruun:
MOSFET-only Mixer/IIR filter with gain using parametric amplification. 1209-1212 - Muhammad Anis, Maurits Ortmanns, Norbert Wehn:
Fully integrated UWB impulse transmitter and 402-to-405MHz super-regenerative receiver for medical implant devices. 1213-1215 - Yu-Cheng Su, Huan Chen, Ching-Lun Hung, Shuenn-Yuh Lee:
Wireless ECG detection system with low-power analog front-end circuit and bio-processing ZigBee firmware. 1216-1219 - Robert Rieger, Yan-Ru Huang:
A high-gain, low-noise CMOS amplifier for sampled bio-potential recording. 1220-1223 - Bruno Do Valle, Christian T. Wentz, Rahul Sarpeshkar:
An ultra-compact and efficient Li-ion battery charger circuit for biomedical applications. 1224-1227 - Ruslana Shulyzki, Karim Abdelhalim, Roman Genov:
CMOS current-copying neural stimulator with OTA-sharing. 1232-1235 - Andre Vilas Boas, Fabio Lacerda, Alfredo Olmos:
A switched-capacitor Programmable Gain Amplifier optimized for motor control application using correlated double sampling technique. 1240-1243 - Yngvar Berg:
Novel ultra low voltage transconductance amplifier. 1244-1247 - Linfei Guo, Tong Ge, Joseph Sylvester Chang:
A micropower comparator for high power-efficiency hearing aid class D amplifiers. 1248-1251 - Omid Rajaee, Yue Hu, Manideep Gande, Tawfiq Musah, Un-Ku Moon:
An interstage correlated double sampling technique for switched-capacitor gain stages. 1252-1255 - Shinyu Chen, Robert Rieger:
Linear low-frequency filter using on-chip giga-ohm resistance. 1256-1259 - Stephen T. Kim, Jaehyouk Choi, Sungho Beck, Taejoong Song, Kyutae Lim, Joy Laskar:
Subthreshold current mode matrix determinant computation for analog signal processing. 1260-1263 - Paul-Peter Sotiriadis:
Optimizing continuous-time filters driven by bang-bang signals. 1264-1267 - Costas Laoudias, Costas Psychalinos:
Low-voltage Bluetooth/ZigBee complex filter using current mirrors. 1268-1271 - Jacek Piskorowski, Miguel Ángel Gutiérrez de Anda:
A new concept of continuous-time narrow bandpass Q-varying filter with transient suppression. 1272-1275 - Miguel A. Martins, Ka-Fai Un, Pui-In Mak, Rui Paulo Martins:
SC biquad filter with hybrid utilization of OpAmp and comparator-based circuit. 1276-1279 - Jaime Ramírez-Angulo, Ayesha Nargis, Ramón González Carvajal, Antonio J. López-Martín:
CMOS operational amplifiers with continuous-time capacitive common mode feedback. 1280-1283 - Chanwoo Park, Sanghyun Cha, Yuenjoong Lee, Ohjo Kwon, Deukhee Park, Kyoungsoo Kwon, Jaeshin Lee:
A highly accurate piezoelectric actuator driver IC for auto-focus in camera module of mobile phone. 1284-1287 - Matteo Perenzoni, Fausto Borghetti, Lorenzo Gonzo:
A column readout channel for infrared and terahertz bolometers with direct analog to digital conversion. 1288-1291 - Jonathan Tapson:
Mixed signal phase sensitive detection. 1292-1295 - Heng-Chia Hsu, Kaushik Dasgupta, Nathan M. Neihart, Sudip Shekhar, Jeffrey S. Walling, David J. Allstot:
U-shaped slow-wave transmission lines in 0.18μm CMOS. 1296-1299 - Sang-Hye Chung, Kyu-Dong Hwang, Won-Young Lee, Lee-Sup Kim:
A high resolution metastability-independent two-step gated ring oscillator TDC with enhanced noise shaping. 1300-1303 - Jesús Aguado Ruiz, Antonio J. López-Martín, Jaime Ramírez-Angulo:
Three novel improved CMOS capacitance scaling schemes. 1304-1307 - Yasuhiro Sugimoto:
A highly efficient transient and frequency-response simulation method for switching converters without using a SPICE-like analog simulator. 1308-1311 - Marcel Siadjine Njinowa, Hung Tien Bui, François R. Boyer:
Peak-to-peak jitter reduction technique for the Free-Running Period Synthesizer (FRPS). 1312-1315 - Yu Song, Zeljko Ignjatovic:
A low-power active switched-capacitor loop filter for phase locked loops. 1316-1319 - Elena Blokhina, Orla Feely, Jordi Ricart, Manuel Domínguez Pumar:
On some properties of the output of a pulsed digital oscillator working with multiple resonances. 1320-1323 - Jan-K. Bremer, Marco Reit, Jan Przytarski, Wolfgang Mathis:
Nonlinearity and dynamics in RF Oscillators: Analysis and design implications. 1324-1327 - Woojae Lee, SeongHwan Cho:
A 2.4-GHz reference doubled fractional-N PLL with dual phase detector in 0.13-μm CMOS. 1328-1331 - Kei Itoh, Toshinori Yamada:
Faster adaptive parallel diagnosis in the presence of intermittent faults (extended abstract). 1336-1339 - Craig Schlottmann, Brian P. Degnan, David Abramson, Paul E. Hasler:
Reducing offset errors in MITE systems by precise floating gate programming. 1340-1343 - Satoshi Taoka, Toshimasa Watanabe:
Heuristic algorithms for the marking construction problem of Petri nets. 1344-1347 - Ravi Chandra Tatikonda, Venkata Praveen Battula, Vijay Kumar:
Control of inverted pendulum using adaptive neuro fuzzy inference structure (ANFIS). 1348-1351 - Di He:
Optimal stochastic resonance under low signal-to-noise ratio circumstances. 1352-1355 - Ned J. Corron, Mark T. Stahl, Jonathan N. Blakely:
Exactly solvable chaotic circuit. 1356-1359 - Chihiro Ikuta, Yoko Uwate, Yoshifumi Nishio:
Chaos glial network connected to Multi-Layer Perceptron for Solving Two-Spiral Problem. 1360-1363 - Mingdong Xu, Fan Wu, Henry Leung:
Stochastic delay differential equation and its application on communications. 1364-1367 - Hironori Kumeno, Yoshifumi Nishio:
Synchronization phenomena in coupled logistic maps involving parametric force. 1368-1371 - Hui-Min Wang, You-Liang Lai, Mark C. Hou, Shih-Hsiang Lin, Brad S. Yen, Yu-Chieh Huang, Lei-Chun Chou, Shao-You Hsu, Sheng-Chieh Huang, Ming-Yie Jan:
A ±6ms-accuracy, 0.68mm2 and 2.21μW QRS detection ASIC. 1372-1375 - Chin-Teng Lin, Chun-Ling Lin, Kuan-Chih Huang, Shi-An Chen, Jui-Hsin Tung:
The performance of visuo-motor coordination changes under force feedback assistance system. 1376-1379 - Shao-Hang Hung, Che-Jui Chang, Chih-Feng Chao, I-Jan Wang, Chin-Teng Lin, Bor-Shyh Lin:
Development of real-time wireless brain computer interface for drowsiness detection. 1380-1383 - Jianan Song, David Welch, Jennifer Blain Christen:
Amplification circuit and microelectrode array for HL-1 Cardiomyocyte action potential measurement. 1384-1387 - Han-Yen Chang, Sheng-Chih Yang, Sheng-Hsing Lan, Pau-Choo Chung:
Epileptic seizure detection in grouped multi-channel EEG signal using ICA and wavelet transform. 1388-1391 - Christoph Posch, Daniel Matolin, Rainer Wohlgenannt, Michael Hofstätter, Peter Schön, Martin Litzenberger, Daniel Bauer, Heinrich Garn:
Live demonstration: Asynchronous time-based image sensor (ATIS) camera with full-custom AE processor. 1392 - Angel Jiménez-Fernandez, Juan Luis Fuentes-del-Bosh, Rafael Paz-Vicente, Alejandro Linares-Barranco, Gabriel Jiménez:
Live demonstration: Neuro-inspired system for realtime vision tilt correction. 1393 - Angel Jiménez-Fernandez, Juan Luis Fuentes-del-Bosh, Rafael Paz-Vicente, Alejandro Linares-Barranco, Gabriel Jiménez:
Neuro-inspired system for real-time vision sensor tilt correction. 1394-1397 - Francisco Gomez-Rodriguez, Lourdes Miro-Amarante, Fernando Díaz-del-Río, Alejandro Linares-Barranco, Gabriel Jiménez:
Live demonstration: Real time objects tracking using a bio-inspired processing cascade architecture. 1398 - Francisco Gomez-Rodriguez, Lourdes Miro-Amarante, Fernando Díaz-del-Río, Alejandro Linares-Barranco, Gabriel Jiménez:
Real time multiple objects tracking based on a bio-inspired processing cascade architecture. 1399-1402 - Shoushun Chen, Wei Tang, Eugenio Culurciello:
Live demonstration: A 64×64 pixels UWB wireless temporal-difference digital image sensor. 1403 - Shoushun Chen, Wei Tang, Eugenio Culurciello:
A 64×64 pixels UWB wireless temporal-difference digital image sensor. 1404-1407 - Stephan Schraml, Ahmed Nabil Belbachir, Nenad Milosevic, Peter Schön:
Live demonstration: Dynamic stereo vision system for real-time tracking. 1408 - Stephan Schraml, Ahmed Nabil Belbachir, Nenad Milosevic, Peter Schön:
Dynamic stereo vision system for real-time tracking. 1409-1412 - Koji Kugata, Tomoya Takagi, Hiroki Noguchi, Masahiko Yoshimoto, Hiroshi Kawaguchi:
Live demonstration: Intelligent ubiquitous sensor network for sound acquisition. 1413 - Koji Kugata, Tomoya Takagi, Hiroki Noguchi, Masahiko Yoshimoto, Hiroshi Kawaguchi:
Intelligent ubiquitous sensor network for sound acquisition. 1414-1417 - B. Zimmermann, Christoph Studer:
Live demonstration: FPGA-based real-time acoustic camera prototype. 1418 - B. Zimmermann, Christoph Studer:
FPGA-based real-time acoustic camera prototype. 1419 - Jonathan Tapson, Tara Julia Hamilton, André van Schaik:
Live demonstration: The self-tuned regenerative electromechanical parametric amplifier. 1423 - Jonathan Tapson, Tara Julia Hamilton, André van Schaik:
The self-tuned regenerative electromechanical arametric amplifier: A model for Active amplification in the cochlea. 1424-1427 - Hai-Gang Yang:
Overview: Emerging technologies on giga-scale FPGA implementat. 1428-1431 - Chuanjin Richard Shi:
Mixed-signal system-on-chip verification using a recursively-verifying-modeling (RVM) methodology. 1432-1435 - Delong Shang, Fei Xia, Alexandre Yakovlev:
Asynchronous FPGA architecture with distributed control. 1436-1439 - Ying Peng, Zhirun Hu:
60 GHz meta-material wideband antenna for FPGA Giga bit data transmission. 1440-1443 - Wei Wang, Tom T. Jing, Brian Butcher:
cFPGA: CNT emerging memory-based FPGA. 1444-1447 - Wenhuan Yu, Jiaming Lin, Gabor C. Temes:
Two-step junction-splitting SAR analog-to-digital converter. 1448-1451 - Jiaming Lin, Wenhuan Yu, Gabor C. Temes:
Energy-efficient time-interleaved and pipelined SAR ADCs. 1452-1455 - Maryam Zaare, Reza Lotfi, Mohammad Maymandi-Nejad:
Capacitor scaling for low-power design of cyclic analog-to-digital converters. 1456-1459 - Shankar Thirunakkarasu, Bertan Bakkaloglu:
A radix-3 SAR analog-to-digital converter. 1460-1463 - Youngjoo Lee, In-Cheol Park:
Capacitor array structure and switching control scheme to reduce capacitor mismatch effects for SAR analog-to-digital converters. 1464-1467 - Massimo Alioto:
Closed-form analysis of DC noise immunity in subthreshold CMOS logic circuits. 1468-1471 - Kyung Ki Kim, Haiqing Nan, Ken Choi:
Power gating for ultra-low voltage nanometer ICs. 1472-1475 - Yngvar Berg:
Ultra low voltage static carry generate circuit. 1476-1479 - Matthias W. Blesken, Sven Lütkemeier, Ulrich Rückert:
Multiobjective optimization for transistor sizing sub-threshold CMOS logic standard cells. 1480-1483 - David Bol, Cédric Hocquet, Denis Flandre, Jean-Didier Legat:
Robustness-aware sleep transistor engineering for power-gated nanometer subthreshold circuits. 1484-1487 - Simeng Li, Huxiong Xu, Wenhua Fan, Yun Chen, Xiaoyang Zeng:
A 128/256-point pipeline FFT/IFFT processor for MIMO OFDM system IEEE 802.16e. 1488-1491 - Zheng-Yu Huang, Pei-Yun Tsai:
High-throughput QR decomposition for MIMO detection in OFDM systems. 1492-1495 - Chen-Yang Lin, Cheng-Chi Wong, Hsie-Chia Chang:
A multiple code-rate turbo decoder based on reciprocal dual trellis architecture. 1496-1499 - Jonathan K. Brown, David D. Wentzloff:
Harvesting a clock from a GSM signal for the wake-up of a wireless sensor network. 1500-1503 - Serkan Sayilir, Yung-Hsiang Lu, Dimitrios Peroulis, Y. Charlie Hu, Byunghoo Jung:
Phase difference and frequency offset estimation for collaborative beamforming in sensor networks. 1504-1507 - Yun-Yu Chen, Tung-Chien Chen, Liang-Gee Chen:
Accuracy and power tradeoff in spike sorting microsystems with cubic spline interpolation. 1508-1511 - Panagiotis Kassanos, Andreas Demosthenous, Richard H. Bayford:
Optimization of bipolar and tetrapolar impedance biosensors. 1512-1515 - Yongde Meng, Chunpu Zou, Min Huang, Jie Chen, James Xing:
Development of water-soluble sono/photo-sensitive nanopartices for cancer treatment. 1516-1519 - Themistoklis Prodromakis, Kostis Michelakis, Chris Toumazou:
Fabrication and electrical characteristics of memristors with TiO2/TiO2+x active layers. 1520-1522 - Pujitha Weerakoon, Fred J. Sigworth, Peter Kindlmann, Joseph Santos-Sacchi, Youshan Yang, Eugenio Culurciello:
An integrated patch-clamp system with dual Input. 1523-1526 - Wen Hou, Michael M. Green:
Use of a continuation method for analyzing startup circuits. 1527-1530 - Saeid Daneshgar, Michael Peter Kennedy:
A qualitative analysis of a complementary differential LC injection-locked frequency divider based on direct injection. 1531-1534 - Paolo Maffezzoni, Dario D'Amore, Saeid Daneshgar, Michael Peter Kennedy:
Estimating the locking range of analog dividers through a phase-domain macromodel. 1535-1538 - Barbara Cannas, Alessandra Fanni, Augusto Montisci:
A fast procedure for canonical ambiguity groups determination in nonlinear analog circuits. 1539-1542 - Mario di Bernardo, Carlos Ildefonso Hoyos Velasco, Umberto Montanaro, Stefania Santini:
Experimental validation of a novel adaptive controller for piecewise affine systems. 1543-1546 - Shih-Ming Huang, Jar-Ferr Yang, Shih-Cheng Chang:
Robust face recognition using subface hidden Markov models. 1547-1550 - Dakala Jayachandra, Anamitra Makur:
Directional Variance: A measure to find the directionality in a given image segment. 1551-1554 - Hoi-Kok Cheung, Wan-Chi Siu:
Local affine motion prediction for H.264 without extra overhead. 1555-1558 - Chia-Wen Lin, Nai-Chia Cheng:
Video bsckground inpainting using dynamic texture synthesis. 1559-1562 - Tse-Wei Wang, Yi-Wen Chen, Wen-Hsiao Peng:
Analysis of template matching prediction and its application to parametric overlapped block motion compensation. 1563-1566 - Chutham Sawigun, Dipankar Pal, Andreas Demosthenous:
A wide-input linear range sub-threshold transconductor for sub-Hz filtering. 1567-1570 - Adnan Harb:
A rail-to-rail full clock fully differential rectifier and sample-and-hold amplifier. 1571-1574 - Sung-Min Chin, Chih-Cheng Hsieh, Chin-Fong Chiu, Hann-Huei Tsai:
A new rail-to-rail comparator with adaptive power control for low power SAR ADCs in biomedical application. 1575-1578 - Annajirao Garimella, M. Wasequr Rashid, Paul M. Furth:
Single Miller compensation using inverting current buffer for multi-stage amplifiers. 1579-1582 - Antonio J. López-Martín, Carlos Aristoteles De la Cruz-Blas, Jaime Ramírez-Angulo, Ramón González Carvajal:
Compact low-voltage CMOS current-mode multiplier/divider. 1583-1586 - Gong-Han Chen, Po-Han Wu, Jiann-Chyi Rau:
Multi-chains encoding scheme in low-cost ATE. 1587-1590 - Takanobu Shiki, Yasuhiro Takashima, Yuichi Nakamura:
Delay analysis of sub-path on fabricated chips by several path-delay tests. 1595-1598 - Pratyush Kumar, David Atienza:
Neural network based on-chip thermal simulator. 1599-1602 - Farnoos Farrokhi Farkhani, Farah A. Mohammadi:
Temperature and power measurement of modern dual core processor by infrared thermography. 1603-1606 - Taizo Suzuki, Masaaki Ikehara:
Structurally regular integer discrete cosine transform for low-bit-word-length coefficients. 1607-1610 - Chandrasekhar Radhakrishnan, W. Kenneth Jenkins:
Modified Discrete Fourier Transforms for fast convolution and adaptive filtering. 1611-1614 - Marwan A. Jaber, Daniel Massicotte:
A novel approach for FFT data reordering. 1615-1618 - David B. H. Tay, Jingxin Zhang:
On Hilbert-pairs from non-minimum phase Daubechies filters. 1619-1622 - Peter J. Hampton, Pan Agathoklis:
Comparison of Haar wavelet-based and Poisson-based numerical integration techniques. 1623-1626 - Wei-Zen Chen, Wei-Wen Ou, Tai-You Lu, Shun-Tien Chou, Song-Yu Yang:
A 2.4 GHz reference-less wireless receiver for 1Mbps QPSK demodulation. 1627-1630 - Chang-Ching Wu, Xuening Sun, Alberto L. Sangiovanni-Vincentelli, Jan M. Rabaey:
A 2.2mW CMOS LNA for 6-8.5GHz UWB receivers. 1631-1634 - Daibashish Gangopadhyay, Sudip Shekhar, Jeffrey S. Walling, David J. Allstot:
A 1.6 mW 5.4 GHz transformer-feedback gm-boosted current-reuse LNA in 0.18/μm CMOS. 1635-1638 - Carlos Dualibe:
Novel MOSFET-only bandgap voltage reference. 1639-1642 - Edward K. F. Lee:
Low voltage CMOS bandgap references with temperature compensated reference current output. 1643-1646 - Tobi Delbrück, Raphael Berner, Patrick Lichtsteiner, Carlos Dualibe:
32-bit Configurable bias current generator with sub-off-current capability. 1647-1650 - Kimio Shibata, Cong-Kha Pham:
A compact adaptive slope compensation circuit for Current-Mode DC-DC converter. 1651-1654 - Mahsa Ebrahimian, Kamal El-Sankary, Ezz I. El-Masry:
Enhanced RF to DC CMOS rectifier with capacitor-bootstrapped transistor. 1655-1658 - José Antonio Pérez-Carrasco, Carlos Zamarreño-Ramos, Teresa Serrano-Gotarredona, Bernabé Linares-Barranco:
On neuromorphic spiking architectures for asynchronous STDP memristive systems. 1659-1662 - Olivier Bichler, Weisheng Zhao, Christian Gamrat, Fabien Alibart, Stéphane Pleutin, Dominique Vuillaume:
Development of a functional model for the Nanoparticle-Organic Memory transistor. 1663-1666 - Guillaume Agnus, Arianna Filoramo, Jean-Philippe Bourgoin, Vincent Derycke, Weisheng Zhao:
Carbon nanotube-based programmable devices for adaptive architectures. 1667-1670 - Zackary Chiragwandi, Jonas Sköldberg, Göran Wendin:
Robustness of logic gates and reconfigurability of neuromorphic switching networks. 1671-1674 - Nikolaos Archontas, Julius Georgiou, M. Haykel Ben Jamaa, Sandro Carrara, Giovanni De Micheli:
Characterization of memristive Poly-Si Nanowires via empirical physical modelling. 1675-1678 - Seongho Cho, Byung-Ho Cha, Jingwei Wang, C.-C. Jay Kuo:
Block-based image steganalysis: Algorithm and performance evaluation. 1679-1682 - Hong Cao, Alex C. Kot:
Mobile camera identification using demosaicing features. 1683-1686 - Mauro Barni, Andrea Costanzo, Lara Sabatini:
Identification of cut & paste tampering by means of double-JPEG detection and image segmentation. 1687-1690 - Angela D'Angelo, Jean-Luc Dugelay:
Color based soft biometry for hooligans detection. 1691-1694 - Jonathan Weir, WeiQi Yan:
Resolution variant visual cryptography for street view of Google Maps. 1695-1698 - Paolo Magnone, Felice Crupi, Massimo Alioto, Ben Kaczer:
Experimental study of leakage-delay trade-off in Germanium pMOSFETs for logic circuits. 1699-1702 - Olivier Thomas, Jean-Philippe Noel, Claire Fenouillet-Béranger, Marie-Anne Jaud, J. Dura, P. Perreau, Frédéric Boeuf, François Andrieu, D. Delprat, F. Boedt, Konstantin Bourdelle, Bich-Yen Nguyen, Andrei Vladimirescu, Amara Amara:
32nm and beyond Multi-VT Ultra-Thin Body and BOX FDSOI: From device to circuit. 1703-1706 - Borivoje Nikolic, Changhwan Shin, Min Hee Cho, Xin Sun, Tsu-Jae King Liu, Bich-Yen Nguyen:
SRAM design in fully-depleted SOI technology. 1707-1710 - Shashikanth Bobba, Sandro Carrara, Giovanni De Micheli:
Design of a CNFET array for sensing and control in P450 based biochips for multiple drug detection. 1711-1714 - Davide Sacchetto, M. Haykel Ben Jamaa, Giovanni De Micheli, Yusuf Leblebici:
Design aspects of carry lookahead adders with vertically-stacked nanowire transistors. 1715-1718 - Albert Chow, Hae-Seung Lee:
Offset cancellation for zero crossing based circuits. 1719-1722 - Davide De Caro, Marino Coppola, Nicola Petra, Ettore Napoli, Antonio G. M. Strollo, Valeria Garofalo:
High-speed differential resistor ladder for A/D converters. 1723-1726 - Mohamed Mohsen, Mohamed Dessouky:
13-bit 205 MS/s time-interleaved pipelined ADC with digital background calibration. 1727-1730 - Tawfiq Musah, Un-Ku Moon:
Pseudo-differential zero-crossing-based circuit with differential error suppression. 1731-1734 - Luca Picolli, Lorenzo Crespi, Faouzi Chaahoub, Piero Malcovati, Andrea Baschirotto:
A 1.6-GHz, 54-dB signal-to-noise and distortion ratio pipeline A/D converter. 1735-1738 - Hassan Mostafa, Mohab Anis, Mohamed I. Elmasry:
Comparative analysis of power yield improvement under process variation of sub-threshold flip-flops. 1739-1742 - Ezz El-Din O. Hussein, Yehea I. Ismail:
A novel variation insensitive clock distribution methodology. 1743-1746 - Hassan Mostafa, Mohab Anis, Mohamed I. Elmasry:
Statistical timing yield improvement of dynamic circuits using negative capacitance technique. 1747-1750 - Renatas Jakushokas, Eby G. Friedman:
Globally integrated power and clock distribution network. 1751-1754 - Jinn-Shyan Wang, Chun-Yuan Cheng, Je-Ching Liu, Yu-Chia Liu, Yi-Ming Wang:
A 55nm 1GHz one-cycle-locking de-skewing circuit. 1755-1758 - Chih-Hung Lin, Alex Chien-Lin Huang, Robert Chen-Hao Chang, Kuang-Hao Lin:
Low-power design of variable block-size LDPC decoder using nanometer technology. 1759-1762 - Russell Dodd, Christian Schlegel, Vincent C. Gaudet:
Implementation of enhanced CDMA utilizing low complexity joint detection with iterative processing. 1763-1766 - Hasan Tana, Abdul N. Sazish, Afandi Ahmad, Mhd Saeed Sharif, Abbes Amira:
Efficient FPGA implementation of a wireless communication system using Bluetooth connectivity. 1767-1770 - Jonathan Müller, Andreia Cathelin, Ali M. Niknejad, Andreas Kaiser:
A FIR baseband filter for high data rate 60-GHz wireless communications. 1771-1774 - Seungsoo Kim, Jaewook Shin, Hyunchol Shin:
On-the-fly speed and power scaling of an E-TSPC dual modulus prescaler using forward body bias in 0.25 μm CMOS. 1775-1778 - Drew A. Hall, Shan X. Wang, Boris Murmann, Richard S. Gaster:
Portable biomarker detection with magnetic nanotags. 1779-1782 - Mhd Saeed Sharif, Abbes Amira, Habib Zaidi:
3D Oncological PET volume analysis using CNN and LVQNN. 1783-1786 - Hsu-Cheng Ou, Mona E. Zaghloul:
The SAW resonators on LiNb03 for mass-sensing applications. 1787-1790 - François Pêcheux, Morgan Madec, Christophe Lallement:
Is SystemC-AMS an appropriate "promoter" for the modeling and simulation of bio-compatible systems? 1791-1794 - Koranan Limpaphayom, Robert W. Newcomb:
The extended ear type system and possible applications. 1795-1798 - Mauro Mangia, Riccardo Rovatti, Gianluca Setti:
Narrowband interference reduction in UWB systems based on spreading sequence spectrum shaping. 1799-1802 - Laxmi Subedi, Ljiljana Trajkovic:
Spectral analysis of Internet topology graphs. 1803-1806 - Anish Man Singh Shrestha, Satoshi Tayu, Shuichi Ueno:
On two-directional orthogonal ray graphs. 1807-1810 - S. Morfu:
Image processing using diffusion processes. 1811-1814 - Sergio Callegari, Federico Bizzarri:
A heuristic solution to the optimisation of flutter control in compression systems (and to some more binary quadratic programming problems) via ΔΣ modulation circuits. 1815-1818 - Yifeng He, Ling Guan:
Streaming capacity in multi-channel P2P VoD systems. 1819-1822 - A. Anil Kumar, Anamitra Makur:
Joint source channel coding with Hermitian symmetric DFT codes. 1823-1826 - Angelo M. Arrifano, Manuela Pereira, Marc Antonini, Mário M. Freire:
Multiple-description video coding based on JPEG 2000 MQ-coder registers. 1827-1830 - Zicong Mai, Hassan Mansour, Rafal Mantiuk, Panos Nasiopoulos, Rabab Kreidieh Ward, Wolfgang Heidrich:
On-the-fly tone mapping for backward-compatible high dynamic range image/video compression. 1831-1834 - Tong Zhou, Yong Liu, Quqing Chen, Kangying Cai, Jun Teng, Zhibo Chen:
An entropy coding method for floating-point texture coordinates of 3D mesh. 1835-1838 - Chen-Wei Huang, Ping Gui:
A 250MHz-to-4GHz Δ-Σ fractional-N frequency synthesizer with adjustable duty cycle. 1839-1842 - Chi-Sheng Lin, Ting-Hsu Chien, Chin-Long Wey:
An effective phase detector for phase-locked loops with wide capture range and fast acquisition time. 1843-1846 - Kenichi Ohhata, Hironori Imamura, Toshinobu Ohno, Takaya Taniguchi, Kiichi Yamashita, Toru Yazaki, Norio Chujo:
17 Gb/s VCSEL driver using double-pulse asymmetric emphasis technique in 90-nm CMOS for optical interconnection. 1847-1850 - Nitesh Singhal, Nitin Nidhi, Sudhakar Pamarti:
A power amplifier with minimal efficiency degradation under back-off. 1851-1854 - Kosta Luria, Joseph Shor:
Miniaturized CMOS thermal sensor array for temperature gradient measurement in microprocessors. 1855-1858 - Zhuo Ruan, David A. Penry:
Partitioning and synthesis for hybrid architecture simulators. 1859-1862 - Yuki Ando, Seiya Shibata, Shinya Honda, Hiroyuki Tomiyama, Hiroaki Takada:
Automatic communication synthesis with hardware sharing for design space exploration. 1863-1866 - Ryuta Nara, Hiroshi Atobe, Youhua Shi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki:
State-dependent changeable scan architecture against scan-based side channel attacks. 1867-1870 - Jeyavijayan Rajendran, Efstratios Gavas, Jorge Jimenez, Vikram Padman, Ramesh Karri:
Towards a comprehensive and systematic classification of hardware Trojans. 1871-1874 - Apostolos P. Fournaris:
Fault and simple power attack resistant RSA using Montgomery modular multiplication. 1875-1878 - Bin Liao, Zhiguo Zhang, Shing-Chow Chan:
A subspace-based method for DOA estimation of uniform linear array in the presence of mutual coupling. 1879-1882 - Joonsoo Kwon, Minsu Kim, Jinwook Oh, Hoi-Jun Yoo:
A 22.4 mW competitive fuzzy edge detection processor for volume rendering. 1883-1886 - Lanlan He, Shaodan Ma, Yik-Chung Wu, Tung-Sang Ng:
Semi-blind CFO, channel estimation and data detection for OFDM systems over doubly selective channels. 1887-1890 - Chang-Hung Tsai, Kheng-Joo Tan, Ching-Lung Su, Jiun-In Guo:
A group of macroblock based motion estimation algorithm supporting adaptive search range for H.264 video coding. 1891-1894 - Shingo Chikamatsu, Tomohiro Nakaya, Masakazu Kouda, Nobutaka Kuroki, Tetsuya Hirose, Masahiro Numa:
Super-resolution technique for thermography with dual-camera system. 1895-1898 - Kai Hu, Frank Herzel, Christoph Scheytt:
Fully integrated 9 GHz CMOS VCO with very low phase noise. 1899-1902 - Stefano Dal Toso, Andrea Bevilacqua, Andrea Gerosa, Andrea Neviani:
A thorough analysis of the tank quality factor in LC oscillators with switched capacitor banks. 1903-1906 - Jonas Fritzin, Timmy Sundström, Ted Johansson, Atila Alvandpour:
Reliability study of a low-voltage Class-E power amplifier in 130nm CMOS. 1907-1910 - Liang Rong, Fredrik Jonsson, Li-Rong Zheng:
A switch mode resonating H-Bridge polar transmitter using RF ΣΔ modulation. 1911-1914 - Yan Li, Jerry Lopez, Donald Y. C. Lie, Kevin Chen, Stanley Wu, Tzu-Yi Yang:
Efficiency enhancement and linearity trade-offs for cascode vs. common-emitter SiGe power amplifiers in WiMAX polar transmitters. 1915-1918 - Damian Grzechca, Jerzy Rutkowski, Tomasz Golonek:
PCA application to frequency reduction for fault diagnosis in analog and mixed electronic circuit. 1919-1922 - Michael G. Dimopoulos, Dimitris K. Papakostas, Basilios D. Vassios, Alkis A. Hatzopoulos:
Wavelet analysis of current measurements for mixed-signal circuit testing. 1923-1926 - Edinei Santin, Luís B. Oliveira, Blazej Nowacki, João Goes:
Fully integrated and reconfigurable architecture for coherent self-testing of IQ ADCs. 1927-1930 - Wimol San-Um, Masayoshi Tachibana:
A low-jitter supply-regulated charge pump phase-locked loop with built-in test and calibration. 1931-1934 - Jingbo Duan, Degang Chen, Randall L. Geiger:
Phase control of triangular stimulus generator for ADC BIST. 1935-1938 - Sylvain Saïghi, Jean Tomas, Yannick Bornat, Bilel Belhadj, Olivia Malot, Sylvie Renaud:
Real-time multi-board architecture for analog spiking neural networks. 1939-1942 - Arindam Basu, Shubha Ramakrishnan, Paul E. Hasler:
Neural dynamics in reconfigurable silicon. 1943-1946 - Johannes Schemmel, Daniel Brüderle, Andreas Grübl, Matthias Hock, Karlheinz Meier, Sebastian Millner:
A wafer-scale neuromorphic hardware system for large-scale neural modeling. 1947-1950 - Giacomo Indiveri, Fabio Stefanini, Elisabetta Chicca:
Spike-based learning with a generalized integrate and fire silicon neuron. 1951-1954 - Garrett S. Rose:
Overview: Memristive devices, circuits and systems. 1955-1958 - Nathaniel C. Cady, Magnus Bergkvist, Nicholas M. Fahrenkopf, Phillip Z. Rice, Joseph Van Nostrand:
Biologically self-assembled memristive circuit elements. 1959-1962 - Wei Wang, Tom T. Jing, Brian Butcher:
FPGA based on integration of memristors and CMOS devices. 1963-1966 - Dmitri B. Strukov, Duncan R. Stewart, Julien Borghetti, Xuema Li, Matthew D. Pickett, Gilberto Medeiros-Ribeiro, Warren Robinett, Gregory S. Snider, John Paul Strachan, Wei Wu, Qiangfei Xia, J. Joshua Yang, R. Stanley Williams:
Hybrid CMOS/memristor circuits. 1967-1970 - Bodhisatwa Sadhu, Ramesh Harjani:
Capacitor bank design for wide tuning range LC VCOs: 850MHz-7.1GHz (157%). 1975-1978 - Antonio J. Ginés, Ricardo Doldán, Manuel J. Barragan Asian, Adoración Rueda, Eduardo J. Peralías:
On-chip biased voltage-controlled oscillator with temperature compensation of the oscillation amplitude for robust I/Q generation. 1979-1982 - Liangge Xu, Kari Stadius, Jussi Ryynänen:
A wide-band digitally controlled ring oscillator. 1983-1986 - Wissam Altabban, Patricia Desgreys, Hervé Petit, Karim Ben Kalaia, Laure Rolland du Roscoat:
Merged Digitally Controlled Oscillator and Time to Digital Converter for TV band ADPLL. 1987-1990 - Francesco Cannone, Gianfranco Avitabile, Damiano Cascella:
Multi-standard/multi-band distributed VCO based on the "switched-cells tuning technique" for SDR applications. 1991-1994 - Nicolas Siret, Ismaïl Sabry, Jean-François Nezan, Mickaël Raulet:
A codesign synthesis from an MPEG-4 decoder dataflow description. 1995-1998 - Ahmed Youssef, James W. Haslett, Edward Youssoufian:
Digitally-controlled RF passive attenuator in 65 nm CMOS for mobile TV tuner ICs. 1999-2002 - Gwo Giun Lee, Wei-Chiao Yang, Min-Shan Wu, He-Yuan Lin:
Reconfigurable architecture design of motion compensation for multi-standard video coding. 2003-2006 - Yuan-Hsin Liao, Gwo-Long Li, Tian-Sheuan Chang:
A high throughput VLSI design with hybrid memory architecture for H.264/AVC CABAC decoder. 2007-2010 - Min Zhu, Leibo Liu, Shouyi Yin, Yansheng Wang, Wenjie Wang, Shaojun Wei:
A reconfigurable multi-processor SoC for media applications. 2011-2014 - Tobi Delbrück, Thomas Koch, Raphael Berner, Hynek Hermansky:
Fully integrated 500uW speech detection wake-up circuit. 2015-2018 - Mosaddequr Rahman, Sazzadur Chowdhury:
A new deflection shape function for square membrane CMUT design. 2019-2022 - Farid Hassani, Shahrokh Ahmadi, Can E. Korman, Mona E. Zaghloul:
A SAW-based liquid sensor with identification for wireless applications. 2023-2026 - Shih-Chii Liu, André van Schaik, Bradley A. Minch, Tobi Delbrück:
Event-based 64-channel binaural silicon cochlea with Q enhancement mechanisms. 2027-2030 - Muhammad Shakeel Qureshi, Arindam Basu, Baris Bicen, Levent Degertekin, Paul E. Hasler:
Integrated low voltage and low power CMOS circuits for optical sensing of diffraction based micromachined microphone. 2031-2034 - Mauro Di Marco, Mauro Forti, Massimo Grazzini, Luca Pancioni:
A note on the dichotomy of limit sets for cooperative CNNs with delays. 2035-2038 - Ákos Zarándy, Tamás Fülöp:
Retinal approaching object detector model implementation and validation. 2039-2042 - Leonardo Nicolosi, Ronald Tetzlaff, Felix Abt, Andreas Blug, Heinrich Höfler:
A camera based closed loop control system for keyhole welding processes: Algorithm comparison. 2043-2046 - Fernando Corinto, Marco Gilli, Tamás Roska:
Locally connected oscillatory networks acting as fully connected oscillatory networks. 2047-2050 - Mika Laiho, Eero Lehtonen:
Cellular nanoscale network cell with memristors for local implication logic and synapses. 2051-2054 - Dong-Ok Han, Jeong-Hoon Kim, Kwang-Du Lee, Sang-Gyu Park, Eung-Ju Kim:
A fully integrated dual band transceiver for IEEE 802.11a/b/g/j/n WLAN applications using hybrid up/down conversion architecture. 2055-2058 - Sleiman Bou-Sleiman, Mohammed Ismail:
Transceiver parameter detection using a high conversion gain RF amplitude detector. 2059-2062 - Matteo Camponeschi, Andrea Bevilacqua, Andrea Neviani, Pietro Andreani:
Accurate time-variant analysis of a current-reuse 2.2 GHz 1.3 mW CMOS front-end. 2063-2066 - Leonardo Lanante, Masayuki Kurosaki, Hiroshi Ochi:
Low complexity compensation of frequency dependent I/Q imbalance and carrier frequency offset for direct conversion receivers. 2067-2070 - Deping Huang, Jin Zhou, Wei Li, Ning Li, Junyan Ren:
A fractional-N frequency synthesizer for cellular and short range multi-standard wireless receiver. 2071-2074 - Xiao Liu, Andreas Demosthenous, Nick Donaldson:
A dual-mode neural stimulator capable of delivering constant current in current-mode and high stimulus charge in semi-voltage-mode. 2075-2078 - Zhimin Xu, Edmund Y. Lam:
Hyperspectral reconstruction in biomedical imaging using terahertz systems. 2079-2082 - Yu-Hsin Chen, Tung-Chien Chen, Tsung-Hsueh Lee, Liang-Gee Chen:
Sub-microwatt correlation integral processor for implantable closed-loop epileptic neuromodulator. 2083-2086 - Kiseok Song, Seulki Lee, Hoi-Jun Yoo:
A wirelessly-powered electro-acupuncture based on Adaptive Pulse Width Mono-Phase stimulation. 2087-2090 - Virgilio Valente, Andreas Demosthenous, Richard H. Bayford:
Electric field focusing and shifting technique in deep brain stimulation using a dynamic tripolar current source. 2091-2094 - Refik Sever, Murat Askar:
8×8-Bit multiplier designed with a new wave-pipelining scheme. 2095-2098 - José Sarmento, John T. Stonick:
A minimal-gate-count fully digital frequency-tracking oversampling CDR circuit. 2099-2102 - Kazeem Alagbe Gbolagade, Ricardo Chaves, Leonel Sousa, Sorin Dan Cotofana:
An improved RNS reverse converter for the {22n+1-1, 2n, 2n-1} moduli set. 2103-2106 - Jianzhou Li, Ramesh Karri:
Compact hardware architectures for BLAKE and LAKE hash functions. 2107-2110 - Mohamed N. Hassan, Mohammed Benaissa:
A scalable hardware/software co-design for elliptic curve cryptography on PicoBlaze microcontroller. 2111-2114 - Xiong Liu, Alan N. Willson Jr.:
A 1.2 Gb/s recursive polyphase cascaded integrator-comb prefilter for high speed digital decimation filters in 0.18-μm CMOS. 2115-2118 - Gordana Jovanovic-Dolecek, Lara Dolecek:
Novel multiplierless wide-band CIC compensator. 2119-2122 - Amir Eghbali, Håkan Johansson, Per Löwenborg:
Reconfigurable nonuniform transmultiplexers based on uniform filter banks. 2123-2126 - Danilo Comminiello, Michele Scarpiniti, Raffaele Parisi, Aurelio Uncini:
A novel affine projection algorithm for superdirective microphone array beamforming. 2127-2130 - Zhu Liang Yu, Zhenghui Gu, Yuanqing Li, Wee Ser, Meng Hwa Er:
Robust response control with linear inequality matrix constraints for adaptive beamformer. 2131-2134 - Jun Zhou, Liang Liu, Fan Ye, Junyan Ren:
Joint estimation and compensation for front-end imperfection in MB-OFDM UWB systems. 2135-2138 - Danfeng Chen, Haipeng Fu, Yunfeng Chen, Wei Li, Fan Ye, Ning Li, Junyan Ren:
A sideband-suppressed low-power synthesizer for 14-band dual-carrier MB-OFDM UWB transceivers. 2139-2192 - Eleni Fotopoulou, Dorina Thanou, Thanos Stouraitis:
Comparison of time and frequency domain interpolation implementations for MB-OFDM UWB transmitters. 2143-2146 - Kangwoo Park, In-Cheol Park:
Low-complexity tone reservation method for PAPR reduction of OFDM systems. 2147-2150 - Ali Zahabi, Maurits Ortmanns:
Study on integrated transmission line ΣΔ modulators. 2151-2154 - Hanspeter Schmid, Sven Sigel, Marc Pastre, Maher Kayal, Pascal Zwahlen, Anne-Marie Nguyen:
An internally non-linear ADC for a ΣΔ accelerometer loop. 2155-2158 - Yan Wang, Gabor C. Temes:
Design techniques for discrete-time delta-sigma ADCs with extra loop delay. 2159-2162 - Ramin Zanbaghi, Terri S. Fiez, Gabor C. Temes:
A new zero-optimization scheme for noise-coupled ΔΣ ADCs. 2163-2166 - Pascal Witte, Carsten Noeske, Maurits Ortmanns:
Hardware complexity of a correlation based background DAC error estimation technique for sigma-delta ADCs. 2167-2170 - Manoranjan Paul, Weisi Lin, Chiew Tong Lau, Bu-Sung Lee:
McFIS: Better I-frame for video coding. 2171-2174 - Wen Yang, Oscar C. Au, Chao Pang, Jingjing Dai, Feng Zou, Xing Wen, Yu Liu:
An efficient motion vector coding algorithm based on adaptive predictor selection. 2175-2178 - Andreas Krutz, Alexander Glantz, Thomas Sikora:
Background modeling for video coding: From sprites to Global Motion Temporal filtering. 2179-2182 - Mortuza Ali, M. Manzur Murshed:
Motion compensation for block-based lossless video coding using lattice-based binning. 2183-2186 - Nafisa Tarannum, Mark R. Pickering, Michael R. Frater, John F. Arnold:
Transform-domain super resolution for improved motion-compensated prediction. 2187-2190 - Yigal Nimni, Doron Shmilovitz:
A returned energy architecture for improved photovoltaic systems efficiency. 2191-2194 - Georgios Tsourakis, Costas D. Vournas:
A controller for wind generators to increase damping of power oscillations. 2195-2198 - Carlos Andrés Ramos-Paja, Giovanni Spagnuolo, Giovanni Petrone, Roberto Giral, Alfonso Romero:
Fuel cell MPPT for fuel consumption optimization. 2199-2202 - Ilya Zeltser, Sam Ben-Yaakov:
Current sourcing isolated grid connected inverter. 2203-2206 - Zhijun Qian, Osama Abdel-Rahman, Christopher Hamilton, Majd Ghazi Batarseh, Issa Batarseh:
An integrated four-port converter for compact and efficient hybrid power systems. 2207-2210 - Ro-Min Weng, Shu-Wei Liu:
A 1.5V low noise figure mixer for 3.5GHz WiMAX systems. 2211-2214 - Shenjie Wang, Vaibhav Maheshwari, Wouter A. Serdijn:
Instantaneously companding baseband SC low-pass filter and ADC for 802.1 la/g WLAN receiver. 2215-2218 - Vincenzo Chironi, Björn Debaillie, Andrea Baschirotto, Jan Craninckx, Mark Ingels:
An area efficient digital amplitude modulator in 90nm CMOS. 2219-2222 - Nicolás Barabino, Rafaella Fiorelli, Fernando Silveira:
Efficiency based design flow for fully-integrated class C RF power amplifiers in nanometric CMOS. 2223-2226 - Raghavendra Kulkarni, Jusung Kim, Hyung-Joon Jeon, José Silva-Martínez, Jianhong Xiao:
A broadband 470-862 MHz direct conversion CMOS receiver. 2227-2230 - Parameswaran Ramachandran, Wu-Sheng Lu, Andreas Antoniou:
Optimized numerical mapping scheme for filter-based exon location in DNA using a quasi-Newton algorithm. 2231-2234 - Rajasekhar Kakumani, M. Omair Ahmad, Vijay Kumar Devabhaktuni:
Comparative genomic analysis using statistically optimal null filters. 2235-2238 - Brad S. Yen, Hui-Min Wang, Mark C. Hou, Sheng-Chieh Huang, Lei-Chun Chou, Shao-You Hsu, Tzu-chia Huang, You-Liang Lai, Ming-Yie Jan:
The relationship between music processing and electrocardiogram (ECG) in vegetative state (VS). 2239-2242 - Md. Rabiul Islam, Shamim Ahmad, Keikichi Hirose, Md. Khademul Islam Molla:
Data adaptive analysis of ECG signals for cardiovascular disease diagnosis. 2243-2246 - Xinggong Zhang, Zongming Guo:
Time-constrained packet scheduling optimization for video streaming in wireless ad-hoc networks. 2247-2250 - Maodong Li, Zhenzhong Chen, Seong-Ping Chuah, Yap-Peng Tan:
Efficient packet scheduling for scalable video delivery to mobile clients. 2251-2254 - Robert Yi-Pin Lu, Jun-Wei Lin, Tzi-Dar Chiueh:
Cross-layer optimization for wireless streaming via adaptive MIMO OFDM. 2255-2258 - Byung Joon Oh, Chang Wen Chen:
A cross-layer adaptation HCCA MAC for QoS-aware H.264 video communications over Wireless Mesh Networks. 2259-2262 - Xia Li, Peter G. M. Baltus, Dusan M. Milosevic, Arthur H. M. van Roermund, Paul T. M. van Zeijl:
An EFOM for cross-layer optimization towards low-power and high-performance wireless networks. 2263-2266 - Stepan Sutula, Carles Ferrer, Francisco Serra-Graells:
A 100/μA/Ch fully-integrable lock-in multi-channel frontend for infrared spectroscopic gas recognition. 2267-2270 - Nathan Schemm, Bo Liang, Sina Balkir, Michael W. Hoffman, Mark Bauer:
A single chip computational sensor system for gamma isotope identification. 2271-2274 - Kwan Ting Ng, Farid Boussaïd, Amine Bermak:
A frequency-based signature gas identification circuit for SnO2 gas sensors. 2275-2278 - Siavash Saremi-Yarahmadi, Olive H. Murphy, Christofer Toumazou:
RF inductive sensors for detection of change in the ionic strength and pH of liquid samples. 2279-2282 - Yan Liu, Chris Toumazou:
An ISFET based sensing array with sensor offset compensation and pH sensitivity enhancement. 2283-2286 - Fu-Chang Lin, Li-Wei Ko, Shi-An Chen, Ching-Fu Chen, Chin-Teng Lin:
EEG-based cognitive state monitoring and predition by using the self-constructing neural fuzzy system. 2287-2290 - Mhd Saeed Sharif, Abbes Amira, Habib Zaidi:
Intelligent approach for PET volume analysis. 2291-2294 - Eero Lehtonen, Jussi H. Poikonen, Mika Laiho:
A CNN approach to computing arbitrary Boolean functions. 2295-2298 - Baoyong Zhang, Wei Xing Zheng:
On passivity of delayed Markovian jump systems subject to parametric uncertainties. 2299-2302 - Changsong Li, Yuji Okada, Masayuki Watanabe, Yasunori Mitani:
Modeling Kita-Hon HVDC Link for load frequency control of Eastern Japan 50-Hz power system based on application of the CampusWAMS. 2307-2310 - Daniel K. Molzahn, Bernard C. Lesieutre:
An eigenvalue formulation for determining initial conditions of induction machines in dynamic power system simulations. 2311-2313 - Anthony S. Deese, Juan C. Jiménez, Jon Berardino, Chika O. Nwankpa:
Hardware prototype to emulate the dynamics of power system generators with field programmable analog arrays. 2314-2317 - Sina Chiniforoosh, Ali Davoudi, Juri Jatskevich:
Averaged-circuit modeling of line-commutated rectifiers for transient simulation programs. 2318-2321 - Jose R. Rodriguez, Felipe Ruiz, Domingo Biel, Francesc Guinjoan:
Simulation and analysis of distributed PV generation in a LV network using MATLAB-Simulink. 2322-2325 - Hossam Ali, Emad Hegazi:
A low-jitter video clock recovery circuit. 2326-2329 - Zhuoli Sun, Kyunghee Kang, Tadashi Shibata:
A self-learning multiple-class classifier using multi-dimensional quasi-Gaussian analog circuits. 2330-2333 - Ngoc-Vinh Vu, Jim Whittington, Hua Ye, John C. Devlin:
Implementation of the MFCC front-end for low-cost speech recognition systems. 2334-2337 - Jin-Tai Yan, Zhi-Wei Chen:
Low-cost low-power bypassing-based multiplier design. 2338-2341 - John Goodwin, Peter R. Wilson:
Power analysis detectable watermarks for protecting intellectual property. 2342-2345 - Renatas Jakushokas, Emre Salman, Eby G. Friedman, Radu M. Secareanu, Olin L. Hartin, Cynthia L. Recker:
Compact substrate models for efficient noise coupling and signal isolation analysis. 2346-2349 - Amin Khajeh, Ahmed M. Eltawil, Fadi J. Kurdahi:
Effect of body biasing on embedded SRAM failure. 2350-2353 - Haytham Elmiligi, Ahmed A. Morgan, M. Watheq El-Kharashi, Fayez Gebali:
Networks-on-chip topology optimization subject to power, delay, and reliability constraints. 2354-2357 - Tarek Nechma, Mark Zwolinski, Jeff S. Reeve:
Parallel sparse matrix solver for direct circuit simulations on FPGAs. 2358-2361 - Hiroki Noguchi, Junichi Tani, Yusuke Shimai, Hiroshi Kawaguchi, Masahiko Yoshimoto:
Parallel-processing VLSI architecture for mixed integer linear programming. 2362-2365 - Jing Fu, Wei-Ping Zhu:
A simplified structure of second-order volterra filters for nonlinear acoustic echo cancellation. 2366-2369 - Marius Vasile Ghiurcau, Corneliu Rusu, Radu Ciprian Bilcu:
A modified TESPAR algorithm for wildlife sound classification. 2370-2373 - Piotr Zubrycki, Alexander A. Petrovsky:
Quasi-periodic signal analysis using harmonic transform with application to voiced speech processing. 2374-2377 - Kuo-Chiang Chang, Yu-Ting Kuo, Tay-Jyi Lin, Chih-Wei Liu:
Complexity-effective dynamic range compression for digital hearing aids. 2378-2381 - Daniel Pak-Kong Lun, Tai-Chiu Hsung:
Improved wavelet based a-priori SNR estimation for speech enhancement. 2382-2385 - Maarten Lont, Dusan M. Milosevic, Peter G. M. Baltus, Arthur H. M. van Roermund, Guido Dolmans:
Analytical passive mixer power gain models. 2386-2389 - Jun He, Degang Chen, Randall L. Geiger:
Detailed analyses in prediction of capacitive-mismatch-induced offset in dynamic comparators. 2390-2393 - Marian Pierzchala, Mourad Fakhfakh:
Generation of active inductor circuits. 2394-2397 - Rui He, Lihong Zhang:
Symmetry-aware analog layout placement design handling substrate-sharing constraints. 2398-2401 - Cristian E. Onete, Maria Cristina C. Onete:
Indefinite matrices of linear electrical circuits, their pseudoinverses, and applications in related fields. 2402-2405 - Hervé Caracciolo, Edoardo Bonizzoni, Piero Malcovati, Franco Maloberti:
Design of a 70-MHz IF 10-MHz bandwidth bandpass ΣΔ modulator for WCDMA applications. 2406-2409 - Shankar Parameswaran, Nagendra Krishnapura:
A 100 µW Decimator for a 16 bit 24 kHz bandwidth Audio ΔΣ Modulator. 2410-2413 - Honglei Chen, Dong Wu, Yanzhao Shen, Jun Xu:
A 13-bit, low-power, compact ADC suitable for sensor applications. 2414-2417 - Joshua Liang, David A. Johns:
A frequency-scalable 15-bit incremental ADC for low power sensor applications. 2418-2421 - Aldo Pena-Perez, Victor R. Gonzalez-Diaz, Franco Maloberti:
Double-sampling analog-look-ahead second order ΣΔ modulator with reduced dynamics. 2422-2425 - Tobi Delbrück, Bernabé Linares-Barranco, Eugenio Culurciello, Christoph Posch:
Activity-driven, event-based vision sensors. 2426-2429 - Christoph Posch, Daniel Matolin, Rainer Wohlgenannt:
High-DR frame-free PWM imaging with asynchronous AER intensity encoding and focal-plane temporal redundancy suppression. 2430-2433 - Dongsoo Kim, Eugenio Culurciello:
A compact-pixel tri-mode vision sensor. 2434-2437 - Juan A. Leñero-Bardallo, Teresa Serrano-Gotarredona, Bernabé Linares-Barranco:
A signed spatial contrast event spike retina chip. 2438-2441 - Tobi Delbrück, Raphael Berner:
Temporal contrast AER pixel with 0.3%-contrast event threshold. 2442-2445 - Anthony J. Lawrance:
Recent theory and new applications in chaos communications. 2446-2449 - Géza Kolumbán, Tamás Krébesz, Francis Chung-Ming Lau:
Feasibility of UWB radio: Impulse radio versus chaos-based approach. 2450-2453 - Jing Xu, Weikai Xu, Lin Wang, Guanrong Chen:
Design and simulation of a cooperative communication system based on DCSK/FM-DCSK. 2454-2457 - Shintaro Arai, Yoshifumi Nishio, Takaya Yamazato, Shinji Ozawa:
Performance evaluation of error-correcting scheme without redundancy code for noncoherent chaos communications. 2458-2461 - Georges Kaddoum, Pascal Chargé, Daniel Roviras, François Gagnon:
Performance analysis of differential chaos shift-keying over an m-distributed fading channel. 2462-2465 - Touqeer Azam, David R. S. Dimming:
Robust low power design in nano-CMOS technologies. 2466-2469 - Heng-Ming Hsu, Tai-Hsing Lee, Jhao-Siang Huang:
Ultra-wide-band low noise amplifier using inductive feedback in 90-nm CMOS technology. 2470-2473 - Shunji Nakata, Hirotsugu Suzuki, Ryota Honda, Takahito Kusumoto, Shin'ichiro Mutoh, Hiroshi Makino, Masayuki Miyama, Yoshio Matsuda:
Adiabatic SRAM with a shared access port using a controlled ground line and step-voltage circuit. 2474-2477 - Jun Liu, Lingling Sun, Zhiping Yu, Marissa Condon:
A new substrate model and parameter extraction method for DNW RF MOSFETs. 2478-2481 - Maurice Meijer, José Pineda de Gyvez, Ben Kup, Bert van Uden, Peter Bastiaansen, Marco Lammers, Maarten Vertregt:
A forward body bias generator for digital CMOS circuits with supply voltage scaling. 2482-2485 - Milos Stanisavljevic, Alexandre Schmid, Yusuf Leblebici:
Selective redundancy-based design techniques for the minimization of local delay variations. 2486-2489 - Mustansir Yunus Mukadam, Oscar da Costa Gouveia-Filho, Xuan Zhang, Alyssa B. Apsel:
Process variation compensation of a 4.6 GHz LNA in 65nm CMOS. 2490-2493 - Tong Boon Tang, Alan F. Murray, Binjie Cheng, Asen Asenov:
Statistical NBTI-effect prediction for ULSI circuits. 2494-2497 - Michael Merrett, Yangang Wang, Mark Zwolinski, Koushik Maharatna, Massimo Alioto:
Design metrics for RTL level estimation of delay variability due to intradie (random) variations. 2498-2501 - Hossein Aghababa, Mahmoud Zangeneh, Ali Afzali-Kusha, Behjat Forouzandeh:
Statistical delay modeling of read operation of SRAMs due to channel length variation. 2502-2505 - Yumi Ansa, Shoko Araki, Shoji Makino, Tomohiro Nakatani, Takeshi Yamada, Atsushi Nakamura, Nobuhiko Kitawaki:
Cepstral smoothing of separated signals for underdetermined speech separation. 2506-2509 - Renato Candido, Magno T. M. Silva, Maria D. Miranda, Vítor H. Nascimento:
A statistical analysis of the dual-mode CMA. 2510-2513 - Mitsuru Kawamoto, Kiyotaka Kohno, Yujiro Inouye, Koichi Kurumatani:
A modified eigenvector method for blind deconvolution of MIMO systems using the matrix pseudo-inversion lemma. 2514-2517 - Chung Chen, Wei-Ping Zhu, Qingmin Meng:
A signal perturbation free semi-blind MRT MIMO channel estimation approach. 2518-2521 - Ravi Prakash Ramachandran, Sachin S. Shetty:
Blind channel estimation based robust physical layer key generation in MIMO networks. 2522-2525 - Suchada Sitjongsataporn, Peerapol Yuvapoositanon:
Low complexity adaptive step-size filtered gradient-based per-tone DMT equalisation. 2526-2529 - Ruimin Huang, Niklas Lotze, Markus Becker, Yiannos Manoli:
A multiplierless structure for direct digital IF signal synthesis. 2530-2533 - Shingo Yoshizawa, Hirokazu Ikeuchi, Yoshikazu Miyanaga:
Scalable pipeline architecture of MMSE MIMO detector for 4×4 MIMO-OFDM receiver. 2534-2537 - Steven R. Miller, Andreas S. Spanias, Antonia Papandreou-Suppappola, Robert W. Santucci:
Enhanced direction of arrival estimation via reassigned space-time-frequency methods. 2538-2541 - Saad Bouguezel, M. Omair Ahmad, M. N. S. Swamy:
Image encryption using the reciprocal-orthogonal parametric transform. 2542-2545 - Qing Sun, François Schwartz, Jacques Michel, Yannick Hervé:
A reinforcement learning algorithm used in analog spiking neural network for an adaptive cardiac Resynchronization Therapy device. 2546-2549 - Stéphane Binczak, Aurélien Serge Tchakoutio Nguetcho, Sabir Jacquir, Jean-Marie Bilbault, Viktor B. Kazantsev:
Active spike responses of analog electrical neuron: Theory and experiments. 2550-2553 - Haruna Matsushita, Yoshifumi Nishio:
Self-Organizing Map with Weighted Connections avoiding false-neighbor effects. 2554-2557 - Theodore Yu, Gert Cauwenberghs:
Log-Domain Time-Multiplexed Realization of Dynamical Conductance-Based Synapses. 2558-2561 - Wu-Hua Chen, Wei Xing Zheng:
A study of exponential stability for stochastic delayed neural networks. 2562-2565 - Hisashi Aomori, Tsuyoshi Otake, Nobuaki Takahashi, Ichiro Matsuda, Susumu Itoh, Mamoru Tanaka:
An oversampling 2D sigma-delta converter by cellular neural networks. 2566-2569 - Mohammed A. Hasan:
On computing multi-dimensional extreme eigen and singular subspaces. 2570-2573 - Valentina Lanza, Fernando Corinto, Marco Gilli:
Bifurcations in simple genetic cyclic models. 2574-2577 - Mitra Mirhassani, Babak Zamanlooy:
System-level design of low complexity CVNS feed forward neural network. 2578-2581 - Hsiu-Wen Li, Ren-Hong Fu, Hsin-Yu Luo, Chien-Nan Jimmy Liu:
Automatic circuit adjustment technique for process sensitivity reduction and yield improvement. 2582-2585 - Zhi-Wei Chen, Jin-Tai Yan:
Width-constrained wire sizing for non-tree interconnections. 2586-2589 - Yuichi Tanji:
Fast simulation of interconnects with nonlinear loads using woodbury's formula. 2590-2593 - De-Wen Liao, Chung-Chili Hung:
A spur-reduction frequency synthesizer for WIMAX applications. 2594-2597 - Sotirios Xydis, Christos Skouroumounis, Kiamal Z. Pekmestzi, Dimitrios Soudris, George Economakos:
Designing efficient DSP datapaths through compiler-in-the-loop exploration methodology. 2598-2601 - Jui-Hung Hung, Yao-Kai Yeh, Yung-Sheng Tseng, Tsai-Ming Hsieh:
Technology remapping for engineering change with wirelength consideration. 2602-2605 - Mu-Shun Matt Lee, Kuo-Sheng Lai, Chia-Ling Hsu, Chien-Nan Jimmy Liu:
Dynamic IR drop estimation at gate level with standard library information. 2606-2609 - Yiqiong Shi, Chan Wai Ting, Bah-Hwee Gwee, Ye Ren:
A highly efficient method for extracting FSMs from flattened gate-level netlist. 2610-2613 - A. Abhishek, Amanulla Khan, Virendra Singh, Kewal K. Saluja, Adit D. Singh:
Test application time minimization for RAS using basis optimization of column decoder. 2614-2617 - Hirofumi Kawauchi, Masanori Tsuzuki, Ittetsu Taniguchi, Masahiro Fukui:
An accurate RTL power estimation considering power library unevenness. 2618-2621 - Minmin Shen, Ping Xue:
Super-resolution from observations with variable zooming ratios. 2622-2625 - Guangtao Zhai, Xiaokang Yang, Weisi Lin, Wenjun Zhang:
Simultaneous deblocking and error concealment for decoded visual signal. 2626-2629 - Hongbo Zhu, Pushe Zhao, Tadashi Shibata:
Directional-edge-based object tracking employing on-line learning and regeneration of multiple candidate locations. 2630-2633 - Jing-Ming Guo, Yun-Fu Liu:
Improved Block Truncation Coding using Optimized Dot Diffusion. 2634-2637 - Yasser Ismail, Mohsen Shaaban, Jason McNeely, Mohamed A. Elgamel, Magdy A. Bayoumi:
An efficient area manipulation architecture for frequency domain encoding process. 2638-2641 - Sergey K. Abramov, Victoriya Zabrodina, Vladimir V. Lukin, Benoît Vozel, Kacem Chehdi, Jaakko Astola:
Improved method for blind estimation of the variance of mixed noise using weighted LMS line fitting algorithm. 2642-2645 - Jiying Wu, Jingjing Fu, Bing Zeng:
TV-based multi-scale super resolution using intra- and inter-scale correlations. 2646-2649 - Ying-Rung Horng, Yu-Cheng Tseng, Tian-Sheuan Chang:
Stereoscopic images generation with directional Gaussian filter. 2650-2653 - Chi-Hung Chuang, Jun-Wei Hsieh, Yi-Da Chiou, I-Ru Tsay, Ming-Hui Jin:
Human behavior recognition from arbitrary views. 2654-2657 - Sujan Kumar Roy, Md. Khademul Islam Molla, Keikichi Hirose, Md. Kamrul Hasan:
Pitch estimation of noisy speech signals using EMD-fourier based hybrid algorithm. 2658-2661 - Elias Azarov, Alexander A. Petrovsky:
Linear prediction of deterministic components in hybrid signal representation. 2662-2665 - Cecilia Maria Zannini, Albenzio Cirillo, Raffaele Parisi, Aurelio Uncini:
Improved TDOA disambiguation techniques for sound source localization in reverberant environments. 2666-2669 - Emanuele Principi, Rudy Rotili, Simone Cifani, Lorenzo Marinelli, Stefano Squartini, Francesco Piazza:
Robust speech recognition using feature-domain multi-channel bayesian estimators. 2670-2673 - Liang Tao, Hon Keung Kwan, Juan-juan Gu:
Filterbank-based fast parallel algorithms for real-valued discrete gabor expansion and transform. 2674-2677 - Sunav Choudhary, Pritam Mukherjee, Mrityunjoy Chakraborty:
A SPT treatment to the bit serial realization of the sign-LMS based adaptive filter. 2678-2681 - Jeoong Sung Park, Tokunbo Ogunfunmi:
FPGA implementation of the MIMO-OFDM physical layer using single FFT multiplexing. 2682-2685 - Hidenori Watanabe, Shogo Muramatsu, Hisakazu Kikuchi:
Interval calculation of EM algorithm for GMM parameter estimation. 2686-2689 - Xin Xiao, Erdal Oruklu, Jafar Saniie:
Reduced memory architecture for CORDIC-based FFT. 2690-2693 - Corneliu Rusu, Jaakko Astola:
On the energy concentration property for zero-phase sequences. 2694-2697 - Shunsuke Koshita, Keita Miyoshi, Masahide Abe, Masayuki Kawamata:
Realization of variable band-pass/band-stop IIR digital filters using Gramian-preserving frequency transformation. 2698-2701 - Mauricio F. Quélhas, Antonio Petraglia:
On the design of IIR digital filter using linearized equation systems. 2702-2705 - Jacek Piskorowski:
Digital notch filter with time-varying quality factor for the reduction of powerline interference. 2706-2709 - Syed Bokhari, Behrouz Nowrouzian, Seyyed Ali Hashemi:
A novel technique for DCGA optimization of guaranteed BIBO stable IIR-based FRM digital filters over the CSD multiplier coefficient space. 2710-2713 - Tadashi Suetsugu, Marian K. Kazimierczuk:
Power efficiency calculation of class E amplifier with nonlinear shunt capacitance. 2714-2717 - Selçuk Köse, Eby G. Friedman:
An area efficient fully monolithic hybrid voltage regulator. 2718-2721 - Kwok-To Kwan, Wing-Hung Ki:
Freewheel duration adjustment circuits for charge-control single-inductor dual-output switching converters. 2722-2725 - Keiju Matsui, Susumu Tanaka, Masaru Hasegawa:
Analysis and improvement of bilateral chopper having current resonant soft-switch. 2726-2729 - Ayaz Hasan, Stefano Gregori:
Design of a step-up dc-dc converter with on-chip coupled inductors. 2730-2733 - Nisha Kondrath, Marian K. Kazimierczuk:
Control-to-output and duty ratio-to-inductor current transfer functions of peak current-mode controlled dc-dc PWM buck converter in CCM. 2734-2737 - Mohammad A. Al-Shyoukh, Hoi Lee:
A current-limiter-based soft-start scheme for linear and low-dropout voltage regulators. 2738-2741 - Angel Cid-Pastor, Luis Martínez-Salamero, N. Parody, Abdelali El Aroudi:
Analysis and design of a loss-free resistor based on a boost converter in PWM operation. 2742-2745 - Ahmed Emira, Hassan O. Elwan, Salwa Abdelaziz:
DC-DC converter with ON-time control in pulse-skipping modulation. 2746-2749 - Ali Davoudi, Sairaj V. Dhople, Patrick L. Chapman, Juri Jatskevich:
Variable-resolution simulation of nonlinear power circuits. 2750-2753 - Matthias Albrecht Müller, Alejandro D. Domínguez-García:
On input-to-state stability notions for reachability analysis of power systems. 2754-2757 - Brian B. Johnson, Ali Davoudi, Patrick L. Chapman, Peter Sauer:
Microgrid dynamics characterization using the automated state model generation algorithm. 2758-2761 - Ricardo B. Prada, Lindomar J. Souza:
Interaction among voltage controlling devices and voltage control effect identification. 2762-2765 - Chia-Chi Chu, Hung-Chi Tsai:
Energy function based neural networks UPFC for transient stability enhancement of network-preserving power systems. 2766-2769 - Yuncong Jiang, Jaber A. Abu-Qahouq, Issa Batarseh:
Improved solar PV cell Matlab simulation model and comparison. 2770-2773 - Iris Hui-Ru Jiang, Hua-Yu Chang:
Live Demo: ECOS 1.0: A metal-only ECO synthesizer. 2774 - Philipp Häfliger:
Live demonstration: Inductive power and telemetry for micro-implant. 2775 - Balavelan Thanigaivelan, Adam Postula, Tara Julia Hamilton:
Live Demo: Affine arithmetic concept based Symbolic Circuit Analyser. 2776 - Seulki Lee, Seungwook Paek, Hoi-Jun Yoo:
Live demonstration: A real-time compensated inductive transceiver for wearable MP3 player system on multi-layered planar fashionable circuit board. 2777 - Seulki Lee, Seungwook Paek, Hoi-Jun Yoo:
A real-time compensated inductive transceiver for wearable MP3 player system on multi-layered planar fashionable circuit board. 2778-2781 - Mourad Fakhfakh, Mourad Loulou:
Live demonstration: CASCADES.1: A flow-graph-based symbolic analyzer. 2782 - Daniel Brüderle, Johannes Bill, Bernhard Kaplan, Jens Kremkow, Karlheinz Meier, Eric Müller, Johannes Schemmel:
Live demonstration: Simulator-like exploration of cortical network architectures with a mixed-signal VLSI system. 2783 - Daniel Brüderle, Johannes Bill, Bernhard Kaplan, Jens Kremkow, Karlheinz Meier, Eric Müller, Johannes Schemmel:
Simulator-like exploration of cortical network architectures with a mixed-signal VLSI system. 2784-2787 - Emre Neftci, Elisabetta Chicca, Matthew Cook, Giacomo Indiveri, Rodney J. Douglas:
Live demonstration: State-dependent sensory processing in networks of VLSI spiking neurons. 2788 - Emre Neftci, Elisabetta Chicca, Matthew Cook, Giacomo Indiveri, Rodney J. Douglas:
State-dependent sensory processing in networks of VLSI spiking neurons. 2789-2792 - Scott Koziol, Craig Schlottmann, Arindam Basu, Stephen Brink, Csaba Petre, Brian P. Degnan, Shubha Ramakrishnan, Paul E. Hasler, Aurele Balavoine:
Live demonstration: Hardware and software infrastructure for a family of floating-gate based FPAAs. 2793 - Scott Koziol, Craig Schlottmann, Arindam Basu, Stephen Brink, Csaba Petre, Brian P. Degnan, Shubha Ramakrishnan, Paul E. Hasler, Aurele Balavoine:
Hardware and software infrastructure for a family of floating-gate based FPAAs. 2794-2797 - Hung Tat Chen, Amine Bermak, Adam Khalifa, Dominique Martinez:
An integrated wireless electronic nose system integrating sensing and recognition functions. 2798 - Lei Zhang, Weisheng Dong, Chiu-Wai Hui, Xiaolin Wu, Guangming Shi:
Live demonstration: Spatial-temporal color video reproduction from noisy CFA sequence track: Digital signal processing. 2799 - Joos Vandewalle:
A concepts inventory for an attractive teaching approach of the mathematics of circuits and systems. 2800-2803 - Tokunbo Ogunfunmi, Mahmudur Rahman:
A concept inventory for an Electric Circuits course : Rationale and fundamental topics. 2804-2807 - Mahmudur Rahman, Tokunbo Ogunfunmi:
A set of questions for a concept inventory for a DC Circuits course. 2808-2811 - Hsin-I Cindy Liu, Jonathan Kotker, Babak Ayazifar:
A first lab in filter design: Power line hum suppression in an ECG signal. 2812-2815 - Davide Marano, Gaetano Palumbo, Salvatore Pennisi:
A novel low-power high-speed rail-to-rail class-B buffer amplifier for LCD output drivers. 2816-2819 - Tuan Vu Cao, Dag T. Wisland, Tor Sverre Lande, Farshad Moradi:
Rail-to-rail low-power fully differential OTA utilizing adaptive biasing and partial feedback. 2820-2823 - D. Moro-Frías, María Teresa Sanz-Pascual, Carlos Aristoteles De la Cruz-Blas:
Linear-in-dB Variable Gain Amplifier with PWL exponential gain control. 2824-2827 - Michael Figueiredo, Edinei Santin, João Goes, Rui Santos-Tavares, Guiomar Evans:
Two-stage fully-differential inverter-based self-biased CMOS amplifier with high efficiency. 2828-2831 - Davide Marano, Gaetano Palumbo, Salvatore Pennisi:
Low-power dual-active class-AB buffer amplifier with self-biasing network for LCD column drivers. 2832-2835 - Xinping Huang, Mario Caron:
A novel type-based group delay equalization technique. 2836-2839 - Piya Pal, P. P. Vaidyanathan:
Beamforming using passive nested arrays of sensors. 2840-2843 - Han-Chang Ho, Sheau-Fang Lei:
Fast Huffman decoding algorithm by multiple-bit length search scheme for MPEG-2/4 AAC. 2844-2847 - Rubaiyat Yasmin, Tetsuya Shimamura:
Tracking by nonuniform amplitude division based LMS algorithm for time varying channels. 2852-2855 - Chien-Da Wu, Yinyi Lin:
Efficient algorithm for H.264/AVC intra frame transcoding. 2856-2859 - Qiang Tang, Panos Nasiopoulos, Rabab Kreidieh Ward:
Fast block-size partitioning using empirical rate-distortion models for MPEG-2 to H.264/AVC transcoding. 2860-2863 - Hongliang Li, Guanghui Liu, King Ngi Ngan:
Learn to segment attention object from low DoF image. 2864-2867 - Hsiao-Chiang Chuang, Mary L. Comer:
A new method for segmentation of noisy, low-contrast image sequences. 2868-2871 - Marek Parfieniuk:
A directional extension of the JPEG image codec. 2872-2875 - Kumiko Nomura, Keiko Abe, Shinobu Fujita, Yasuhiko Kurosawa, Atsushi Kageshima:
Performance analysis of 3D-IC for multi-core processors in sub-65nm CMOS technologies. 2876-2879 - Angelo Kuti Lusala, Jean-Didier Legat:
Combining circuit and packet switching with bus architecture in a NoC for real-time applications. 2880-2883 - Mao Nakajima, Minoru Watanabe:
A 100-context optically reconfigurable gate array. 2884-2887 - Olli Viitala, Jussi Ryynänen:
Chip-to-chip communications using capacitive interconnects. 2888-2891 - Ethiopia Nigussie, Juha Plosila, Jouni Isoaho:
Monitoring and reconfiguration techniques for power supply variation tolerant on-chip links. 2892-2895 - Pieter De Wit, Georges G. E. Gielen:
Efficient simulation model for DAC dynamic properties. 2896-2899 - Ye Lu, Sakir Sezer, John V. McCanny:
TLM2.0 based timing accurate modeling method for complex NoC systems. 2900-2903 - Thomas Olsson, Anders Carlsson, Leif R. Wilhelmsson, Johan Eker, Carl von Platen, Isael Diaz:
A reconfigurable OFDM inner receiver implemented in the CAL dataflow language. 2904-2907 - Hui Li, Makram Mansour, Sury Maturi, Li-C. Wang:
A new sampling method for analog behavioral modeling. 2908-2911 - Jan Míchal, Josef Dobes, David Cerný:
Multiobjective optimization with an asymptotically uniform coverage of Pareto front. 2912-2915 - Jie-Yu Liao, Han-Hsiang Huang, Ke-Horng Chen:
Minimized right-half plane zero effect on fast boost DC-DC converter achieved by adaptive voltage positioning technique. 2916-2919 - Vratislav Michal, Christophe Premont, Gaël Pillonnet, Nacer Abouchi:
Zero-derivative method of analog controller design applied to step-down DC-DC converters. 2920-2923 - Marco Meola, Sergio Carrato, Angelo Bovino, Jürgen Schäfer, Emanuele Bodano:
Minimum hardware serial PID regulator for high efficiency, low power digital DC-DC converters. 2924-2927 - Enric Rodriguez, Eduard Alarcón, Herbert H. C. Iu, Abdelali El Aroudi:
A frequency domain approach for controlling chaos in switching converters. 2928-2931 - Santanu Kapat, Soumitro Banerjee, Amit Patra:
Bifurcation behavior of a boost converter under voltage controlled pulse skipping modulation in the light of 1-D discontinuous map model. 2932-2935 - Chua-Chin Wang, Chi-Chun Huang, Yi-Cheng Liu, Victor Pikov, Doron Shmilovitz:
A mini-invasive multi-function biomedical pressure measurement system ASIC. 2936-2939 - Reid R. Harrison, Ryan J. Kier, Anthony M. Leonardo, Haleh Fotowat, Raymond Chan, Fabrizio Gabbiani:
A wireless neural/EMG telemetry system for freely moving insects. 2940-2943 - Aravind Heragu, Viswanathan Balasubramanian, Christian C. Enz:
A multiband concurrent sampling based RF front end for biotelemetry applications. 2948-2951 - Grant S. Anderson, Reid R. Harrison:
Wireless integrated circuit for the acquisition of electrocorticogram signals. 2952-2955 - Vahid Majidzadeh, Laurent Jacques, Alexandre Schmid, Pierre Vandergheynst, Yusuf Leblebici:
A (256×256) pixel 76.7mW CMOS imager/ compressor based on real-time In-pixel compressive sensing. 2956-2959 - Tzu-Der Chuang, Pei-Kuei Tsung, Pin-Chih Lin, Lo-Mei Chang, Tsung-Chuan Ma, Yi-Hau Chen, Liang-Gee Chen:
Low bandwidth decoder framework for H.264/AVC scalable extension. 2960-2963 - Pin-Chih Lin, Pei-Kuei Tsung, Liang-Gee Chen:
Low-cost hardware architecture design for 3D warping engine in multiview video applications. 2964-2967 - Mohammed Sayed, Wael M. Badawy, Graham A. Jullien:
Video-Active RAM: A processor-in-memory architecture for video coding applications. 2968-2971 - Peng Li, Hua Tang:
A low-power VLSI implementation for variable block size motion estimation in H.264/AVC. 2972-2975 - Luis Antonio Azpicueta-Ruiz, Marcus Zeller, Aníbal R. Figueiras-Vidal, Jerónimo Arenas-García:
Least-squares adaptation of affine combinations of multiple adaptive filters. 2976-2979 - Corneliu Rusu, Colin F. N. Cowan:
An Improved Exponentiated stochastic gradient algorithm. 2980-2983 - Patrick Clarke, Rodrigo C. de Lamare:
Reduced-rank BEACON algorithm based on joint iterative optimization of adaptive filters. 2984-2987 - Celso de Sousa Júnior, Romis Ribeiro Faissol Attux, Ricardo Suyama, João Marcos Travassos Romano:
Lyapunov-based stability analysis of supervised and unsupervised adaptive algorithms. 2988-2991 - Jingjing Dai, Oscar C. Au, Wen Yang, Chao Pang, Feng Zou, Xing Wen:
Color video denoising based on adaptive color space conversion. 2992-2995 - Wei-Hao Chiu, Chien-Yuan Cheng, Tsung-Hsien Lin:
A 5-GHz fractional-N phase-locked loop with spur reduction technique in 0.13-μm CMOS. 2996-2999 - Carlos Bernal, Pilar Molina-Gaudó, Arturo Mediano:
Direct DDFS FM modulator with baseband interpolator. 3000-3003 - Taehwan Roh, Joonsung Bae, Hoi-Jun Yoo:
A lOMb/s 4ns jitter direct conversion low Modulation Index FSK demodulator for low-energy body sensor network. 3004-3007 - Hiroomi Hikawa, Taketo Namba:
Phase amplitude converter with conditional shift operation. 3008-3011 - Yunxiao Ling, Jun Yi, Chi-Ying Tsui, Wing-Hung Ki:
System level power optimizations for EPC RFID tags to improve sensitivity using load power shaping and operation scheduling. 3012-3015 - Guanghua Shu, Fan Ye, Yao Guo, Mingjun Fan, Junyan Ren, Jun Xu, Ning Li, Cheng Chen:
A 0.22 pJ/step subsampling ADC with fast input-tracking sampling and simplified opamp sharing. 3016-3019 - Tomohiko Ebata, Uichiro Omae, Kazuya Machida, Keita Hoshi, Takao Waho:
Enhancement of comparator operation speed by using negative-differential-resistance devices. 3020-3023 - Kenneth A. Townsend, Andrew R. Macpherson, James W. Haslett:
A fine-resolution Time-to-Digital Converter for a 5GS/S ADC. 3024-3027 - Jingbo Duan, Le Jin, Degang Chen:
INL based dynamic performance estimation for ADC BIST. 3028-3031 - Bharath K. Vasan, Randall L. Geiger, Degang Chen:
Linearity testing of ADCs using low linearity stimulus and Kalman filtering. 3032-3035 - Jizheng Xu, Bing Zeng, Feng Wu:
An overview of directional transforms in image coding. 3036-3039 - Jingjing Fu, Bing Zeng:
Decoding of directional DCT-coded images: A total variational approach with directionality. 3040-3043 - Yuichi Tanaka, Madoka Hasegawa, Shigeo Kato, Taizo Suzuki, Masaaki Ikehara:
Direction scalability of adaptive directional wavelet transform: An approach using block-lifting based DCT and SPIHT. 3044-3047 - Jingyu Yang, Chunping Hou, Wenli Xu:
Image coding via sparse contourlet representation. 3048-3051 - Chang-Tsun Li, Yue Li:
Digital camera identification using Colour-Decoupled photo response non-uniformity noise pattern. 3052-3055 - Philip Bateman, Anthony T. S. Ho, Alan Woodward:
Accurate detection of out-of-control variations from digital camera devices. 3056-3059 - Nitin Khanna, Edward J. Delp:
Intrinsic signatures for scanned documents forensics : Effect of font shape and size. 3060-3063 - Patchara Sutthiwan, Yun-Qing Shi, Jing Dong, Tieniu Tan, Tian-Tsong Ng:
New developments in color image tampering detection. 3064-3067 - Lee-Ming Cheng, L. L. Cheng:
A forensic chip for secure digital video recording. 3068-3071 - Frederico S. Pinagé, Lara C. R. L. Feio, Eduardo A. B. da Silva, Sergio L. Netto:
Waveform speech coding using multiscale recurrent patterns. 3072-3075 - Khaled Masmoudi, Marc Antonini, Pierre Kornprobst:
Another look at the retina as an image scalar quantizer. 3076-3079 - Amir Said, Ton Kalker, Bowon Lee, Majid Fozunbal:
Massively parallel processing of signals in dense microphone arrays. 3080-3083 - Fellipe dos Santos Guimaraes, Lisandro Lovisolo, Manuel Blanco-Velasco, Fernando Cruz-Roldán:
On the compression of ECG records employing triangular elements and analysis-by-synthesis modeling. 3084-3087 - Belén Calvo, Nicolás J. Medrano-Marqués, Santiago Celma:
A full-scale CMOS voltage-to-frequency converter for WSN signal conditioning. 3088-3091 - El Mehdi Boujamaa, Boris Alandry, Souha Hacine, Laurent Latorre, Frédérick Mailly, Pascal Nouet:
A low power interface circuit for resistive sensors with digital offset compensation. 3092-3095 - Wouter Volkaerts, Bart Marien, Hans Danneels, Valentijn De Smedt, Patrick Reynaert, Wim Dehaene, Georges G. E. Gielen:
A 0.5 V-1.4 V supply-independent frequency-based analog-to-digital converter with fast start-up time for wireless sensor networks. 3096-3099 - Jarno Salomaa, Mikail Yücetas, Antti Kalanti, Lasse Aaltonen, Kari Halonen:
A ΔΣ ADC for low power sensor applications. 3100-3103 - Lin Shu, Xiaoming Tao, David Dagan Feng:
A wearable, wireless electronic interface for textile sensors lin shu. 3104-3107 - Chunguo Li, Luxi Yang, Wei-Ping Zhu:
Robust distributed beamforming for two-way wireless relay systems. 3108-3111 - Wallace Alves Martins, Paulo S. R. Diniz:
Pilot-aided designs of memoryless block equalizers with minimum redundancy. 3312-3315 - Avi Septimus, Raphael Steinberg:
Compressive sampling hardware reconstruction. 3316-3319 - Po-Hsiung Hsu, Yu-Cheng Tseng, Tian-Sheuan Chang:
Low memory cost bilateral filtering using stripe-based sliding integral histogram. 3120-3123 - Z. G. Zhang, S. C. Chan, Yeung Sam Hung:
Local polynomial modelling of time-varying autoregressive processes and its application to the analysis of event-related electroencephalogram. 3124-3127 - Torsten Lehmann, Hosung Chun, Philip Preston, Gregg J. Suaning:
Current-limited passive charge recovery for implantable neuro-stimulators: Power savings, modelling and characterisation. 3128-3131 - Chutham Sawigun, Wouter A. Serdijn:
A 24nW, 0.65-V, 74-dB SNDR, 83-dB DR, class-AB current-mode sample and hold circuit. 3132-3135 - Mohamed Fayez El-Sharkawy, Pantelis Georgiou, Chris Toumazou:
A silicon pancreatic islet for the treatment of diabetes. 3136-3139 - Xiaowen Liu, Daniel Rairigh, Andrew J. Mason:
A fully integrated multi-channel impedance extraction circuit for biosensor arrays. 3140-3143 - Ioannis Pachnis, Andreas Demosthenous, Nick Donaldson:
Towards an adaptive modified quasi-tripole amplifier configuration for EMG neutralization in neural recording tripoles. 3144-3147 - Hao Yu, Wei Fei:
A new modified nodal analysis for nano-scale memristor circuit simulation. 3148-3151 - Peyman Pourhaj, Daniel H.-Y. Teng, Khan A. Wahid, Seok-Bum Ko:
A novel scalable parallel architecture for biological neural simulations. 3152-3155 - Naveen Choudhary, Manoj Singh Gaur, Vijay Laxmi, Virendra Singh:
Genetic algorithm based topology generation for application specific Network-on-Chip. 3156-3159 - Ming Gu, Yang Liu, Shantanu Chakrabartty:
FAST: A simulation framework for solving large-scale probabilistic inverse problems in nano-biomolecular circuits. 3160-3163 - Anirban Sengupta, Reza Sedaghat, Zhipeng Zeng:
Rapid design space exploration for multi parametric optimization of VLSI designs. 3164-3167 - Cyrille Chavet, Philippe Coussy:
A memory mapping approach for parallel interleaver design with multiples read and write accesses. 3168-3171 - Xiaoqing Yang, Zigang Xiao, Yu-Liang Wu:
Improving redundancy addition and removal using unreachable states for sequential circuits. 3172-3175 - Zhipeng Zeng, Reza Sedaghat, Anirban Sengupta:
A framework for fast design space exploration using fuzzy search for VLSI computing Architectures. 3176-3179 - Liang Yang, Bao-Xia Fan, Ming Cong, Ji-Ye Zhao:
Register relocation to optimize clock network for multi-domain clock skew scheduling. 3180-3183 - Chia-Ling Wei, Hsiu-Hui Yang:
Analysis and design of a step-down switched-capacitor-based converter for low-power application. 3184-3187 - Youhei Hinago, Hirotaka Koizumi:
A switched-capacitor inverter using series/parallel conversion. 3188-3191 - Chun-Kit Cheung, Siew-Chong Tan, Yuk-Ming Lai, Chi Kong Tse:
A new visit to an old problem in switched-capacitor converters. 3192-3195 - Albert Garcia-Tormo, Alberto Poveda, Eduard Alarcón, Henk Jan Bergveld, Berry Buter, Ravi Karadi:
An enhanced switching policy for buck-derived multi-level switching power amplifiers. 3196-3199 - Xiuqin Wei, Hiroo Sekiya, Shingo Kuroiwa, Tadashi Suetsugu, Marian K. Kazimierczuk:
Effect of MOSFET gate-to-drain parasitic capacitance on class-E power amplifier. 3200-3203 - Massimo Alioto:
Analysis of layout density in FinFET standard cells and impact of fin technology. 3204-3207 - Renatas Jakushokas, Eby G. Friedman:
Methodology for multi-layer interdigitated power and ground network design. 3208-3211 - Anton Korniienko, Éric Colinet, Gérard Scorletti, Eric Blanco, Dimitri Galayko, Jérôme Juillard:
A clock network of distributed ADPLLs using an asymmetric comparison strategy. 3212-3215 - Anand Chandrasekaran, Kwabena Boahen:
A 1-change-in-4 delay-insensitive interchip link. 3216-3219 - Javier Castro-Ramirez, Pilar Parra Fernández, Antonio J. Acosta:
Optimization of clock-gating structures for low-leakage high-performance applications. 3220-3223 - Amir-Mohammad Rahmani, Masoud Daneshtalab, Pasi Liljeberg, Hannu Tenhunen:
Power-aware NoC router using central forecasting-based dynamic virtual channel allocation. 3224-3227 - Parisa Mahdavinia, Hamid Sarbazi-Azad:
An efficient routing algorithm for irregular mesh NoCs. 3228-3231 - Heng Yu, Yajun Ha, Bharadwaj Veeravalli:
Communication-aware application mapping and scheduling for NoC-based MPSoCs. 3232-3235 - Mahdieh Hosseingholi, Ali Sharif Ahmadian, Hamid Sarbazi-Azad:
Improving the performance of deadlock recovery based routing in irregular mesh NoCs using added mesh-like links. 3236-3239 - Mohamed A. Abd El-Ghany, Magdy A. El-Moursy, Darek Korzec, Mohammed Ismail:
Asynchronous BFT for low power networks on chip. 3240-3243 - Colin Doutre, Mahsa T. Pourazad, Alexis M. Tourapis, Panos Nasiopoulos, Rabab K. Ward:
Correcting unsynchronized zoom in 3D video. 3244-3247 - Hung-Ming Wang, Chun-Hao Huang, Jar-Ferr Yang:
Depth maps interpolation from existing pairs of keyframes and depth maps for 3D video generation. 3248-3251 - Zhenyu Zhu, King To Ng, Shing-Chow Chan, Heung-Yeung Shum:
Image-based rendering of ancient Chinese artifacts for multi-view displays - a multi-camera approach. 3252-3255 - Philippe Lavole, Sung-Kyu Lee, Suk-Ju Kang, Young Hwan Kim:
Dynamic clipping ratio determination for global backlight dimming in LCD. 3256-3259 - Vaibhav Vaidya, Denise M. Wilson, Xiaohong Zhang, Bernard Kippelen:
An organic complementary differential amplifier for flexible AMOLED applications. 3260-3263 - Thomas Northemann, Michael Maurer, Stefan Rombach, Alexander Buhmann, Yiannos Manoli:
Drive and sense interface for gyroscopes based on bandpass sigma-delta modulators. 3264-3267 - Thomas Northemann, Anne Ziegler, Michael Maurer, Yiannos Manoli:
An amplitude regulation for gyroscope drive loops based on phase-shifting. 3268-3271 - Massimo Panella, Fabio Massimo Frattale Mascioli:
A tuning procedure for the electric networks of PEM systems. 3272-3275 - Mohammad Ali Taghvaei, Paul-Vahe Cicek, Karim Allidina, Frederic Nabki, Mourad N. El-Gamal:
A MEMS-based temperature-compensated vacuum sensor for low-power monolithic integration. 3276-3279 - Jack Shiah, Hooman Rashtian, Shahriar Mirabbasi:
A low-noise high-sensitivity readout circuit for MEMS capacitive sensors. 3280-3283 - Jorge R. Fernandes, David D. Wentzloff:
Recent advances in IR-UWB transceivers: An overview. 3284-3287 - Lutz Lampe, Klaus Witrisal:
Challenges and recent advances in IR-UWB system design. 3288-3291 - Dries Neirynck, Kathleen Philips, Olivier Rousseaux:
Partially coherent signal combination for impulse radio synchronisation. 3292-3295 - Youngmin Park, David D. Wentzloff:
IR-UWB transmitters synthesized from standard digital library components. 3296-3299 - John F. M. Gerrits, Mina Danesh, Yi Zhao, Yunzhi Dong, Gerrit van Veenendaal, John R. Long, John R. Farserotu:
System and circuit considerations for low-complexity constant-envelope FM-UWB. 3300-3303 - Tomaso Poggi, Marco Storace:
Digital architectures implementing piecewise-affine functions: An overview. 3304-3307 - Alberto Bemporad, Maurice Heemels, Mircea Lazar:
On the synthesis of piecewise affine control laws. 3308-3311 - Martin Di Federico, Pedro Julián, Pablo Sergio Mandolesi, Andreas G. Andreou:
PWL cores for nonlinear array processing. 3312-3316 - Iluminada Baturone, Santiago Sánchez-Solano, Andrés Gersnoviez, María Brox:
An automated design flow from linguistic models to piecewise polynomial digital circuits. 3317-3320 - Francesco Zanini, Colin N. Jones, David Atienza, Giovanni De Micheli:
Multicore thermal management using approximate explicit model predictive control. 3321-3324 - James Psota, Jason E. Miller, George Kurian, Henry Hoffmann, Nathan Beckmann, Jonathan Eastep, Anant Agarwal:
ATAC: Improving performance and programmability with on-chip optical networks. 3325-3328 - Ivan Beretta, Vincenzo Rana, David Atienza, Donatella Sciuto:
Run-time mapping of applications on FPGA-based reconfigurable systems. 3329-3332 - Christoph Puttmann, Mario Porrmann, Paolo Roberto Grassi, Marco D. Santambrogio, Ulrich Rückert:
High level specification of embedded listeners for monitoring of Network-on-Chips. 3333-3336 - Igor Loi, Pol Marchal, Antonio Pullini, Luca Benini:
3D NoCs - Unifying inter & intra chip communication. 3337-3340 - Tobias Becker, Markus Koester, Wayne Luk:
Automated placement of reconfigurable regions for relocatable modules. 3341-3344 - Benoit Catteau, Bart De Vuyst, Pieter Rombouts, Ludo Weyten:
A 14-bit 250MS/s digital to analog converter with binary weighted Redundant Signed Digit coding. 3345-3348 - Hande Vinayak Gopal, Maryam Shojaei Baghini:
An ultra low-energy DAC for successive approximation ADCs. 3349-3352 - Devrim Yilmaz Aksin, Gurer Ozbek, Franco Maloberti:
Multi-rate segmented time-interleaved current steering DAC with unity-elements sharing. 3353-3356 - Tao Zeng, Degang Chen:
Output impedance linearization technique for current-steering DACs. 3357-3360 - S. Moslem Hokmabadi, Reza Lotfi:
Linearity enhancement in Digital-to-Analog Converters using a modified decoding architecture. 3361-3364 - Wei-Ming Ke, Ching-Te Chiu:
Hardware-efficient image enhancement with bilateral tone adjustment. 3365-3368 - Ya-Fan Su, Homer H. Chen:
Shadow removal from natural images. 3369-3372 - Songnan Li, King Ngi Ngan:
Subtractive impairment, additive impairment and image visual quality. 3373-3376 - Di Xu, Colin Doutre, Panos Nasiopoulos:
Saturated-pixel enhancement for color images. 3377-3380 - Ee Ping Ong, Shiqian Wu, Mei Hwan Loke:
IN-service video quality monitoring. 3381-3384 - Michele Bonnin, Fernando Corinto, Marco Gilli:
A phase model approach for synchronization analysis of coupled nonlinear oscillators. 3385-3388 - Antonio Buonomo, Michael Peter Kennedy, Alessandro Lo Schiavo:
On the synchronization condition of second-harmonic coupled QVCOs. 3389-3392 - Igor M. Filanovsky, Chris J. M. Verhoeven:
Magnetic (RL-) multivibrator using transconductance amplifier. 3393-3396 - Chembiyan Thambidurai, Nagendra Krishnapura:
Spur reduction in wideband PLLs by random positioning of charge pump current pulses. 3397-3400 - Sung-Pah Lee, SeongHwan Cho:
A background KDCO compensation technique for constant bandwidth in all-digital phase-locked loop. 3401-3404 - Kushal Das, Torsten Lehmann:
SOS current mirror matching at 4K: A brief study. 3405-3408 - David Sander, Timir Datta, Pamela Abshire:
Mismatch compensation of a subthreshold CMOS current normalizer. 3409-3412 - Chia-Chun Tsai, Chung-Chieh Kuo, Lin-Jeng Gu, Trong-Yen Lee:
Double-via insertion enhanced X-architecture clock routing for reliability. 3413-3416 - Chun-Yu Lin, Ming-Dou Ker:
2×VDD-tolerant power-rail ESD clamp circuit with low standby leakage in 65-nm CMOS process. 3417-3420 - Chua-Chin Wang, Szu-Chia Liao, Yi-Cheng Liu:
A 125-MHz wide-range mixed-voltage I/O buffer using gated Floating N-well circuit. 3421-3424 - Jiamin Bai, Tian-Tsong Ng, Xinting Gao, Yun-Qing Shi:
Is physics-based liveness detection truly possible with a single image? 3425-3428 - Chang-Tsun Li:
Unsupervised classification of digital images using enhanced sensor pattern noise. 3429-3432 - Jun-Wei Hsieh, Sin-Yu Chen, Chi-Hung Chuang, Miao-Fen Chueh, Shiaw-Shian Yu:
Occluded human body segmentation and its application to behavior analysis. 3433-3436 - Haowei Liu, Rogério Schmidt Feris, Volker Krüger, Ming-Ting Sun:
Unsupervised action classification using space-time link analysis. 3437-3440 - VietAnh Ngo, Wenxian Yang, Jianfei Cai:
Accurate playfield detection using Area-of-Coverage. 3441-3444 - Mert Korkali, Ali Abur:
Impact of network sparsity on strategic placement of phasor measurement units with fixed channel capacity. 3445-3448 - Rajesh Kavasseri, Sudarshan K. Srinivasan:
Joint optimal placement of PMU and conventional measurements in power systems. 3449-3452 - Chia-Chi Chu, Hsiao-Dong Chiang:
Boundary properties of the BCU method for power system transient stability assessment. 3453-3456 - Hiroyuki Mori, Kojiro Shimomugi:
A multi-objective meta-heuristic method for distribution network optimization. 3457-3460 - Rabie Belkacemi, Ali Feliachi:
Multi-agent design for power distribution system reconfiguration based on the artificial immune system algorithm. 3461-3464 - Dominik Cirmirakis, Andreas Demosthenous, Nick Donaldson:
Comparision of methods for interference neutralisation in tripolar nerve recording cuffs. 3465-3468 - Dongsoo Kim, Wei Tang, Brian Goldstein, Pujitha Weerakoon, Hazael Montanaro, Berin Martini, Eugenio Culurciello:
Performance comparison of low current measurement systems for biomedical applications. 3469-3472 - Awais M. Kamboh, Yuning Yang, Karim G. Oweiss, Andrew J. Mason:
Design of a configurable neural Data compression system for intra-cortical implants. 3473-3476 - Xubo Wang, Anh Dinh, Daniel Teng:
Low-power low-complexity carrier-based UWB transmitter in 90nm CMOS for wireless biomedical radar sensing applications. 3477-3480 - Dai Jiang, Andreas Demosthenous, Timothy A. Perkins, Nick Donaldson:
Stimulation management for a multichannel vestibular neural prosthesis. 3481-3484 - Zhi-Hui Kong, Anh-Tuan Do:
A 16Kb 10T-SRAM with 4x read-power reduction. 3485-3488 - Woojin Choi, Young Hoon Kang, Taek-Jun Kwon, Jeff Draper:
Implementation of adaptive grain signatures for transactional memories. 3489-3492 - Lawrence T. Clark, Vikas Chaudhary:
Fast low power translation lookaside buffers using hierarchical NAND match lines. 3493-3496 - Abdul Naeem, Xiaowen Chen, Zhonghai Lu, Axel Jantsch:
Scalability of weak consistency in NoC based multicore architectures. 3497-3500 - Jinyeong Moon, Byong-Tae Chung:
Sense amplifier with offset mismatch calibration for sub 1-V DRAM core operation. 3501-3504 - Xiuming Yao, Ligang Wu, Wei Xing Zheng:
On design of robust ℋ∞ filters for uncertain Markovian stochastic systems. 3505-3508 - Giorgio Biagetti, Paolo Crippa, Alessandro Curzi, Claudio Turchetti:
Unsupervised identification of nonstationary dynamical systems using a Gaussian mixture model based on EM clustering of SOMs. 3509-3512 - Juri Ranieri, Riccardo Rovatti, Gianluca Setti:
Compressive sensing of localized signals: Application to Analog-to-Information conversion. 3513-3516 - Mohammed A. Hasan:
Low rank approximation of a set of matrices. 3517-3520 - Flávio C. A. Teixeira, Stuart W. A. Bergen, Andreas Antoniou:
Robust signal recovery approach for compressive sensing using unconstrained optimization. 3521-3524 - Chih-Hao Liu, P. P. Vaidyanathan:
ZF-DFE transceiver for time-varying MIMO channels with channel-independent temporal precoder. 3525-3528 - Kelvin Lee, Babak Daneshrad:
VLSI implementation of a quasi-ml, energy efficient fixed complexity sphere decoder for MIMO communication system. 3529-3532 - Chung-An Shen, Ahmed M. Eltawil, Sudip Mondal, Khaled N. Salama:
A best-first tree-searching approach for ML decoding in MIMO system. 3533-3536 - Xuebin Wu, Yongmei Dai, Zhiyuan Yan:
List based soft-decision MIMO detection by the MCTS algorithm. 3537-3540 - Ameer Youssef, Mahdi Shabany, P. Glenn Gulak:
VLSI implementation of a hardware-optimized lattice reduction algorithm for WiMAX/LTE MIMO detection. 3541-3544 - Osman Kubilay Ekekon, Samed Maltabas, Martin Margala:
Novel programmable built-in current-sensor for analog, digital and mixed-signal circuits. 3545-3548 - Shakeel Ahmad, Kaveh Azizi, Iman Esmaeil Zadeh, Jerzy J. Dabrowski:
Two-tone PLL for on-chip IP3 test. 3549-3552 - Takumi Uezono, Tomoyuki Takahashi, Michihiro Shintani, Kazumi Hatayama, Kazuya Masu, Hiroyuki Ochi, Takashi Sato:
Scan based process parameter estimation through path-delay inequalities. 3553-3556 - Yuuki Araga, Takushi Hashida, Makoto Nagata:
An on-chip waveform capturing technique pursuing minimum cost of integration. 3557-3560 - Youngmin Park, David D. Wentzloff:
A cyclic vernier time-to-digital converter synthesized from a 65nm CMOS standard library. 3561-3564 - Nagaraj Channarayapatna Shivaramaiah, Andrew G. Dempster:
On the baseband hardware complexity of modernized GNSS receivers. 3565-3568 - Artur Krukowski, Dusan Arsenijevic:
RFID-based positioning for building management systems. 3569-3572 - Mohammad Zahidul H. Bhuiyan, Elena Simona Lohan, Markku Renfors:
A Slope-Based Multipath Estimation technique for mitigating short-delay multipath in GNSS receivers. 3573-3576 - Jaizki Mendizabal, Unai Alvarado, Iñigo Adin, Guillermo Bistué, Juan Meléndez, Roc Berenguer:
Design for test of a low power multi-standard GPS/GALILEO RF front-end. 3577-3580 - Yannis P. Tsividis:
Event-driven, continuous-time ADCs and DSPs for adapting power dissipation to signal activity. 3581-3584 - Laurent Fesquet, Gilles Sicard, Brigitte Bidégaray-Fesquet:
Targeting ultra-low power consumption with non-uniform sampling and filtering. 3585-3588 - Stephen O'Driscoll, Teresa H. Meng:
Adaptive signal acquisition and wireless power transfer for an implantable prosthesis processor. 3589-3592 - Viswanathan Balasubramanian, Aravind Heragu, Christian C. Enz:
Analysis of ultralow-power asynchronous ADCs. 3593-3596 - Alberto Scandurra:
Rationale for optical interconnect. 3597-3600 - Dries Van Thourhout:
State of the art in optical interconnect technology. 3601-3604 - Johnnie Chan, Gilbert Hendry, Aleksandr Biberman, Keren Bergman:
Tools and methodologies for designing energy-efficient photonic networks-on-chip for highperformance chip multiprocessors. 3605-3608 - Atef Allam, Ian O'Connor, Alberto Scandurra:
Optical network-on-chip reconfigurable model for multi-level analysis. 3609-3612 - Sébastien Le Beux, Gabriela Nicolescu, Guy Bois, Pierre G. Paulin:
A system-level exploration flow for optica network on chip (ONoC) in 3D MPSoC. 3613-3616 - Tao Wang, Gabor C. Temes:
Switched-resistor tuning technique for highly linear Gm-C filter design. 3617-3620 - Terdpun Choogorn, Jirayuth Mahattanakul, Apisak Worapishet:
Analysis of the common-mode induced differential-mode distortion in Gm-C filters. 3621-3624 - Herminio Martínez, Eva Vidal, Andrea Cantó, Alberto Poveda, Francesc Guinjoan:
Bandwidth-enhancement gm-C filter with independent ωO and Q tuning mechanisms in both topology and control loops. 3625-3628 - Yong Chen, Pui-In Mak, Yumei Zhou:
Source-follower-based bi-quad cell for continuous-time zero-pole type filters. 3629-3632 - Chairat Upathamkuekool, Amorn Jiraseree-amornkun, Jirayuth Mahattanakul:
A compensation technique for compact low-voltage low-power active-RC filters. 3633-3636 - Kousuke Imamura, Hideo Hashimoto:
An adaptive speed function of level set method for moving object extraction. 3637-3640 - Lu Fang, Oscar C. Au:
Subpixel-based down-sampling via Min-Max Directional Error. 3641-3644 - Tobias Tröger, Henning Heiber, Andreas Schmitt, André Kaup:
Improved mode selection in hybrid error concealment for multi-broadcast-reception. 3645-3648 - Tsz-Kwan Lee, Chang-Hong Fu, Yui-Lam Chan, Wan-Chi Siu:
A new motion vector composition algorithm for fast-forward video playback in H.264. 3649-3652 - Yang Xu, Hongkai Xiong:
Optimized inpainting-based macroblock prediction in video compression. 3653-3656 - Pietro Maris Ferreira, Hervé Petit, Jean-François Naviner:
AMS and RF design for reliability methodology. 3657-3660 - Selçuk Köse, Eby G. Friedman:
Fast algorithms for power grid analysis based on effective resistance. 3661-3664 - Kian Haghdad, Mohab Anis:
Scaling analysis of yield optimization considering supply and threshold voltage variations. 3665-3668 - Dongchul Kim, Hyewon Kim, Yungseon Eo:
Signal integrity verification of coupled interconnect lines using efficient eye-diagram determination. 3669-3672 - Yu-Shin Kuo, Huan-Kai Peng, Charles H.-P. Wen:
Monte-Carlo-based statistical soft error rate (SSER) analysis for the deep sub-micron era. 3673-3676 - Minh Nhut Nguyen, Qi Tian, Ping Xue:
Efficient discovery of unknown ads for audio podcast content. 3677-3680 - Jun-Bin Yeh, Chung-Hsien Wu:
Extraction of robust visual phrases using graph mining for image retrieval. 3681-3684 - Hui Li Tan, Yongwei Zhu, Lekha Chaisorn, Susanto Rahardja:
Audio onset detection using energy-based and pitch-based processing. 3689-3692 - Haowei Liu, Ming-Ting Sun, Ruei-Cheng Wu, Shiaw-Shian Yu:
Video activity detection using compressed domain motion trajectories for H.264 videos. 3693-3696 - Chih-Hsien Hsieh, Tsorng-Juu Liang, Lung-Sheng Yang, Ray-Lee Lin, Kai-Hui Chen:
Analysis and implementation of a DC-DC step-down converter for low output-voltage and high output-current applications. 3697-3700 - Dunisha Wijeratne, Gerry Moschopoulos:
Three-phase single-stage AC-DC converters. 3701-3704 - Dakshina Murthy-Bellur, Marian K. Kazimierczuk:
Two-switch flyback-forward PWM DC-DC converter with reduced switch voltage stress. 3705-3708 - Jen-Chieh Tsai, Tsung-Ying Huang, Wang-Wei Lai, Ke-Horng Chen:
Dual modulation technique for high efficiency in high switching buck converters over a wide load range. 3709-3712 - Chao-Lung Kuo, Tsorng-Juu Liang, Kai-Hui Chen, Jiann-Fuh Chen:
Design and implementation of high frequency AC-LED driver with digital dimming. 3713-3716 - Yu Sun, Liyi Xiao, Cong Shi:
DSTN sleep transistor sizing with a new approach to estimate the maximum instantaneous current. 3717-3720 - Mohamed A. Abd El-Ghany, Magdy A. El-Moursy, Darek Korzec, Mohammed Ismail:
Power characteristics of Networks on Chip. 3721-3724 - Ahmed A. Morgan, Haytham Elmiligi, M. Watheq El-Kharashi, Fayez Gebali:
Multi-objective optimization for Networks-on-Chip architectures using Genetic Algorithms. 3725-3728 - Hassan A. Youness, Abdel-Moniem Wahdan, Mohammed Hassan, Ashraf Salem, Mohammed Moness, Keishi Sakanushi, Yoshinori Takeuchi, Masaharu Imai:
Efficient partitioning technique on multiple cores based on optimal scheduling and mapping algorithm. 3729-3732 - Chung-Huang Jiang, Kun-Lin Tsai, Feipei Lai, Shun-Hung Tsai:
Distinguishable error detection method for Network on Chip. 3733-3736 - Hsin-Fu Luo, Ming-Der Shieh, Yi-Jun Liu, Chien-Ming Wu:
Efficient memory management for FFT processors. 3737-3740 - Todor Mladenov, Saeid Nooshabadi, Keseon Kim, Alberto Dassatti:
Parallel scalable hardware architecture for hard Raptor decoder. 3741-3744 - Lukas Bruderer, Christoph Studer, Markus Wenk, Dominik Seethaler, Andreas Burg:
VLSI implementation of a low-complexity LLL lattice reduction algorithm for MIMO detection. 3745-3748 - Radu M. Secareanu, Jian Yang, Qiang Li, Luis Briones, Salem Eid, Vigier Jean-Stephane, Olin L. Hartin:
Impact of module design on the signal-isolation of mixed-signal RF applications. 3749-3752 - Chien-Feng Hwang, Kuan-Nian Su, Chun-Jen Tsai:
Low-cost class caching mechanism for Java SoC. 3753-3756 - Tuan Anh Vu, Shanthi Sudalaiyandi, Malihe Zarre Dooghabadi, Håkon A. Hjortland, Øivind Næss, Tor Sverre Lande, Svein-Erik Hamran:
Continuous-time CMOS quantizer for ultra-wideband applications. 3757-3760 - Tamás Krébesz, Géza Kolumbán, Chi Kong Tse, Francis Chung-Ming Lau:
Performance improvement of autocorrelation detector used in UWB impulse radio. 3761-3764 - Isael Diaz, Leif R. Wilhelmsson, Joachim Neves Rodrigues, Johan Löfgren, Thomas Olsson, Viktor Öwall:
A sign-bit auto-correlation architecture for fractional frequency offset estimation in OFDM. 3765-3768 - Atif Raza Jafri, Amer Baghdadi, Michel Jézéquel:
Rapid design and prototyping of universal soft demapper. 3769-3772 - Jinhu Lü, Guanrong Chen, Mario di Bernardo:
On some recent advances in synchronization and control of Complex Networks. 3773-3776 - Alireza Khadivi, Ali Ajdari Rad, Martin Hasler:
Community detection enhancement in networks using proper weighting and partial synchronization. 3777-3780 - Xia Zheng, Francis Chung-Ming Lau, Chi Kong Tse:
Constructing high-rate scale-free LDPC codes. 3781-3784 - Chai Wah Wu:
On control of networks of dynamical systems. 3785-3788 - Souradip Sarkar, Turbo Majumder, Ananth Kalyanaraman, Partha Pratim Pande:
Hardware accelerators for biocomputing: A survey. 3789-3792 - Vipin Sachdeva, Michael Kistler, David A. Bader:
Evaluating Cell/B.E software cache for ClustalW. 3793-3796 - Jin H. Park, Yunfei Qiu, Martin C. Herbordt:
CAAD BLASTn: Accelerated NCBI BLASTn with FPGA prefiltering. 3797-3800 - Wu-chun Feng, Shucai Xiao:
To GPU synchronize or not GPU synchronize? 3801-3804 - Oreste Villa, Long Chen, Sriram Krishnamoorthy:
High performance Molecular Dynamic simulation on single and multi-GPU systems. 3805-3808 - Richard F. Lyon, Andreas G. Katsiamis, Emmanuel M. Drakakis:
History and future of auditory filter models. 3809-3812 - Roy D. Patterson, Thomas C. Walters, Jessica Monaghan, Christian Feldbauer, Toshio Irino:
Auditory speech processing for scale-shift covariance and its evaluation in automatic speech recognition. 3813-3816 - Tara Julia Hamilton, Jonathan Tapson, Craig T. Jin, André van Schaik:
Investigating the implications of outer hair cell connectivity using a silicon cochlea. 3817-3820 - Lloyd Watts:
Real-time, high-resolution simulation of the auditory pathway, with application to cell-phone noise reduction. 3821-3824 - Soumyajit Mandal, Rahul Sarpeshkar:
A cochlear heterodyning architecture for an RF fovea. 3825-3828 - Cheng C. Liu, Jian Chang, Louis G. Johnson:
Energy model of CMOS gates using a piecewise linear model. 3829-3832 - Chih-Hsiang Lin, James B. Kuo:
Low-voltage SOI CMOS DTMOS/MTCMOS circuit technique for design optimization of low-power SOC applications. 3833-3836 - Mariya Kurchuk, Yannis P. Tsividis:
Energy-efficient asynchronous delay element with wide controllability. 3837-3840 - Spencer S. Kellis, Nathaniel Gaskin, Bennion Redd, Jeff Campbell, Richard Brown:
Energy profile of a microcontroller for neural prosthetic application. 3841-3844 - Hailong Jiao, Volkan Kursun:
Smooth awakenings: Reactivation noise suppressed low-leakage and robust MTCMOS flip-flops. 3845-3848 - Libo Huang, Li Shen, Zhiying Wang:
Permutation optimization for SIMD devices. 3849-3852 - Hourieh Attarzadeh, Mohammad Sharifkhani, Shah M. Jahinuzzaman:
A scalable offset-cancelled current/voltage sense amplifier. 3853-3856 - Jaejun Lee, Sungho Lee, Joontae Park, Sangwook Nam:
Architecture of a multi-slot main memory system for 3.2 Gbps operation. 3857-3860 - Hyun-Woo Lee, Yong-Hoon Kim, Won-Joo Yun, Eun Young Park, Kang Youl Lee, Jaeil Kim, Kwang Hyun Kim, Jongho Jung, Kyung Whan Kim, Nam Gyu Rye, Kwan-Weon Kim, Jun Hyun Chun, Chulwoo Kim, Young-Jung Choi, Byong-Tae Chung, Joong Sik Kih:
A 7.7mW/1.0ns/1.35V delay locked loop with racing mode and OA-DCC for DRAM interface. 3861-3864 - Lahcen Hamouche, Bruno Allard:
SRAM portless bitcell and current-mode reading. 3865-3868 - Mahzad Azarmehr, Majid Ahmadi, Graham A. Jullien:
Recursive architectures for 2DLNS multiplication. 3869-3872 - Wei Yi, Hongbing Pan, Peng Pan, Li Li, Minglun Gao, Ning Hou, Gaoming Du, Duoli Zhang:
Application-level pipelining on Hierarchical NoC. 3873-3876 - Shye-Tzeng Shen, Shin-Ying Lee, Chung-Ho Chen:
Full system simulation with QEMU: An approach to multi-view 3D GPU design. 3877-3880 - Rui Guo, Linda DeBrunner, Kenny Johansson:
Truncated MCM using pattern modification for FIR filter implementation. 3881-3884 - Ioannis Kouretas, Vassilis Paliouras:
Residue arithmetic bases for reducing delay variation. 3885-3888 - Heba A. Shawkey, Magdy A. El-Moursy:
Modeling of RLC interconnect lines. 3889-3892 - Qiaoyan Yu, Bo Zhang, Yan Li, Paul Ampadu:
Error control integration scheme for reliable NoC. 3893-3896 - Barath Vasudevan, Mohammed Y. Niamat, Mansoor Alam, Srinivasa Vemuru:
Analysis and test of electromigration failures in FPGAs. 3905-3908 - Hung-Shih Chueh, Ching-Min Su, Chia-Tung Kuo, Cheng-Shang Chang, Duan-Shin Lee:
Prototype design and implementation of a load-balanced Birkhoff-von Neumann switch. 3909-3912 - Kiyoshi Nakayama, Norihiko Shinomiya, Hitoshi Watanabe:
Distributed control for link failure based on tie-sets in information networks. 3913-3916 - Luis Lolis, Carolynn Bernier, Michaël Pelissier, Dominique Dallet, Jean-Baptiste Bégueret:
Bandpass sampling Rx system design issues and architecture comparison for low power RF standards. 3921-3924 - Mikel Sánchez, Javier Del Ser, Pablo Prieto, David Dominguez:
Design and implementation of a direct RF-to-digital UHF-TV multichannel transceiver. 3925-3928 - Shuangqu Huang, Dan Bao, Bo Xiang, Yun Chen, Xiaoyang Zeng:
A flexible LDPC decoder architecture supporting two decoding algorithms. 3929-3932 - Jyu-Yuan Lai, Tzu-Yu Hung, Kai-Hsiang Yang, Chih-Tsun Huang:
High-performance architecture for Elliptic Curve Cryptography over binary field. 3933-3936 - Kai He, Jin Sha, Li Li, Zhongfeng Wang:
Low power decoder design for QC-LDPC codes. 3937-3940 - Yi-Min Lin, Hsie-Chia Chang, Chen-Yi Lee:
An improved soft BCH decoder with one extra error compensation. 3941-3944 - Abdulhadi Shoufan, Nico Huber:
A fast hash tree generator for Merkle signature scheme. 3945-3948 - Pei-Yun Tsai, Wei-Tzuo Chen, Xing-Cheng Lin, Meng-Yuan Huang:
A 4×4 64-QAM reduced-complexity K-best MIMO detector up to 1.5Gbps. 3953-3956 - Chia-Ching Lee, Chun-Fu Liao, Chao-Ming Chen, Yuan-Hao Huang:
Design of 4 × 4 MIMO-OFDMA receiver with precode codebook search for 3GPP-LTE. 3957-3960 - Camille Jalier, Didier Lattard, Gilles Sassatelli, Pascal Benoit, Lionel Torres:
Flexible and distributed real-time control on a 4G telecom MPSoC. 3961-3964 - Jui-Chieh Lin, Minja Hsieh, Ming-Jung Fan-Chiang, Song-Yen Mao, Chu Yu, Sao-Jie Chen, Yu Hen Hu:
Perfect shuffling for cycle efficient puncturer and interleaver for software defined radio. 3965-3968 - Stefan Erb, Wolfgang Pribyl:
Design and performance considerations for an on-chip jitter analysis system. 3969-3972 - Kyu-Dong Hwang, Lee-Sup Kim:
An area efficient asynchronous gated ring oscillator TDC with minimum GRO stages. 3973-3976 - Mostafa Rashdan, Abdel Yousif, James W. Haslett, Brent Maundy:
Data link design using a time-based approach. 3977-3980 - Ling Xin, Chiu-sing Choy:
A low-latency NoC router with lookahead bypass. 3981-3984 - Shang-Kee Ting, Ali H. Sayed:
Reduction of the effects of spurious PLL tones on A/D converters. 3985-3988 - Oguz Altun, Ayman A. Fayed, Russell Byrd, Rahmi Hezar, Gaurav Chandra, Gabriel Gomez:
A 6mW 480MHz continuous time ΣΔ modulator with 65dB DR over 5MHz bandwidth in 65nm CMOS. 3989-3992 - Hervé Caracciolo, Edoardo Bonizzoni, Franco Maloberti, George S. La Rue:
Digitally assisted multi-Bit ΣΔ modulator. 3993-3996 - Ahmed Ashry, Hassan Aboushady:
Jitter analysis of bandpass continuous-time ΣΔMs for different feedback DAC shapes. 3997-4000 - Yan Wang, Chia-Hung Chen, Wenhuan Yu, Gabor C. Temes:
Noise-coupled low-power incremental ADCs. 4001-4004 - Nima Maghari, Un-Ku Moon:
A double-sampled path-coupled single-loop ΣΔ modulator using noise-shaped integrating quantizer. 4005-4008 - Susana Patón, Juan A. Torreño, Enrique Prefasi, Luis Hernández:
Continuous Time Cascade Sigma Delta Modulator without digital cancellation filters. 4009-4012 - Chadi Jabbour, Ali Beydoun, Van Tam Nguyen, Patrick Loumeau:
A new interpolation technique for TI ΣΔ A/D converters. 4013-4016 - Chadi Jabbour, Van Tam Nguyen, Patrick Loumeau:
A technique to reduce the impact of hysterisys in ΣΔ analog to digital converters. 4017-4020 - Mattias Andersson, Martin Anderson, Pietro Andreani, Lars Sundström:
Impact of MOS threshold-voltage mismatch in current-steering DACs for CT ΣΔ modulators. 4021-4024 - Malisa Marijan, Zeljko Ignjatovic:
Code division parallel delta-sigma A/D converter with probabilistic iterative decoding. 4025-4028 - Ji-Eun Jang, Yung-Kuang Miao, Yung-Pin Lee:
High-bandwidth power-scalable 10-bit pipelined ADC using bandwidth-reconfigurable operational amplifier. 4029-4032 - Pasquale Delizia, Gianni Saccomanno, Stefano D'Amico, Andrea Baschirotto:
A 10-b 100-MS/s pipelined ADC with an optimized bit-stage resolution in 65nm CMOS technology. 4033-4036 - Kuan-Yu Lin, Ji-Eun Jang, Ching-Hsuan Hsieh, Yung-Pin Lee:
A pipelined analog-to-digital converter using incomplete-settling-without-slewing technique. 4037-4040 - Young-Hwa Kim, Jaewon Lee, SeongHwan Cho:
A 10-bit 300MSample/s pipelined ADC using time-interleaved SAR ADC for front-end stages. 4041-4044 - Chi-Chang Lu, Wei-Xiang Tung:
A 1.5V 12-b 40 MSamples/s CMOS pipelined ADC. 4045-4048 - Mo M. Zhang, Paul J. Hurst, Bernard C. Levy, Stephen H. Lewis:
Calibration of pipelined ADC gain and memory errors in an adaptively equalized receiver. 4049-4052 - Patrick Satarzadeh, Bernard C. Levy, Paul J. Hurst:
A parametric polyphase domain approach to blind calibration of timing mismatches for M-channel time-interleaved ADCs. 4053-4056 - Mohammad Takhti, Amir M. Sodagar, Reza Lotfi:
Domino ADC: A novel analog-to-digital converter architecture. 4057-4060 - Yan Zhu, Chi-Hang Chan, U. Fat Chio, Sai-Weng Sin, Seng-Pan U., Rui Paulo Martins:
A voltage feedback charge compensation technique for split DAC architecture in SAR ADCs. 4061-4064 - Erkan Nevzat Isa, Dominique Morche, Catherine Dehollain:
A novel multiplying D/A converter stage with low sensitivity to amplifier gain. 4065-4068 - Zhangcai Huang, Qin Luo, Yasuaki Inoue:
A CMOS Sub-l-V nanopower current and voltage reference with leakage compensation. 4069-4072 - Savvas Koudounas, Charalambos M. Andreou, Julius Georgiou:
A novel CMOS Bandgap reference circuit with improved high-order temperature compensation. 4073-4076 - Antti Kalanti, Mikail Yücetas, Jarno Salomaa, Lasse Aaltonen, Kari Halonen:
Charge-pump based frequency regulator for precision supply generation. 4077-4080 - Jerry Lam, Calvin Plett:
A low power DC-DC converter for scavenged power wireless sensor networks. 4081-4084 - Alireza Sharif Bakhtiar, Mohammad Sadegh Jalali, Shahriar Mirabbasi:
An RF power harvesting system with input-tuning for long-range RFID tags. 4085-4088 - Ching-Che Chung, Cheng-Ruei Yang:
An all-digital smart temperature sensor with auto-calibration in 65nm CMOS technology. 4089-4092 - Stanislaw J. Piestrak:
Design of cost-efficient multipliers modulo 2a-1. 4093-4096 - Philippe O. Pouliquen:
A ratioless and biasless static CMOS level shifter. 4097-4100 - Matheus Braga, Érika F. Cota, Fernanda Lima Kastensmidt, Marcelo Lubaszewski:
Efficiently using data splitting and retransmission to tolerate faults in networks-on-chip interconnects. 4101-4104 - Rajesh Kumar, Sunil P. Khatri:
An efficient pulse flip-flop based launch-on-shift scan cell. 4105-4108 - Chao-Chung Cheng, Chung-Te Li, Chia-Kai Liang, Yen-Chieh Lai, Liang-Gee Chen:
Architecture design of stereo matching using belief propagation. 4109-4112 - Trang T. T. Do, Thinh M. Le:
High throughput area-efficient SoC-based forward/inverse integer transforms for H.264/AVC. 4113-4116 - Delong Shang, Fei Xia, Alexandre Yakovlev:
Highly parallel multi-resource arbiters. 4117-4120 - Yeoul Na, Seokjoong Hwang, Giseong Bak, Seon Wook Kim, Cheol Ho Lee, Junkyu Min, Taejin Kim:
Hierarchical data structure-based timing controller design for plasma display panels. 4121-4124 - Nicolas Ngan, Eva Dokládalová, Mohamed Akil, François Contou-Carrère:
Dynamically adaptable architecture for real-time video processing. 4125-4128 - Yuan Chen, Isi Mitrani, Delong Shang, Fei Xia, Alexandre Yakovlev:
Stochastic analysis of power, latency and the degree of concurrency. 4129-4132 - Elias S. Manolakos, Ioannis Stamoulias:
IP-cores design for the kNN classifier. 4133-4136 - Mahta Haghi, Jeff Draper:
A single-event upset hardening technique for high speed MOS Current Mode Logic. 4137-4140 - Haytham Ashour, Mohamed Dessouky, Khaled Sharaf:
Extended division range 2/3 chain frequency divider with dynamic control word. 4141-4144 - Fahad Qureshi, Oscar Gustafsson:
Twiddle factor memory switching activity analysis of radix-22 and equivalent FFT algorithms. 4145-4148 - Nicola Petra, Davide De Caro, Antonio G. M. Strollo, Valeria Garofalo, Ettore Napoli, Marino Coppola, Pietro Todisco:
Fixed-width CSD multipliers with minimum mean square error. 4149-4152 - Hou-Jen Ko, Shen-Fu Hsiao, Wen-Liang Huang:
A new non-uniform segmentation and addressing remapping strategy for hardware-oriented function evaluators based on polynomial approximation. 4153-4156 - Valeria Garofalo, Marino Coppola, Davide De Caro, Ettore Napoli, Nicola Petra, Antonio G. M. Strollo:
A novel truncated squarer with linear compensation function. 4157-4160 - Amir Sabbagh Molahosseini, Faegheh Teymouri, Keivan Navi:
A new four-modulus RNS to binary converter. 4161-4164 - Shih-Hao Ou, Yen-Cheng Lin, Tay-Jyi Lin, Chih-Wei Liu:
Improving energy efficiency of functional units by exploiting their data-dependent latency. 4165-4168 - William Nurmi, Saeid Nooshabadi:
An adaptive Space-Time Coding / Spatial Multiplexing detector on FPGA. 4169-4172 - Yung-Kuei Lu, Ming-Der Shieh, Chien-Ming Wu:
Low-complexity Reed-Solomon decoder for optical communications. 4173-4176 - Debora Matos, Luigi Carro, Altamiro Amadeu Susin:
Associating packets of heterogeneous cores using a synchronizer wrapper for NoCs. 4177-4180 - Yin-Tsung Hwang, Wei-Da Chen:
MMSE-QR factorization systolic array design for applications in MIMO signal detections. 4181-4184 - Paul N. Whatmough, Izzat Darwazeh, David M. Bull, Shidhartha Das, Danny Kershaw:
A robust FIR filter with in situ error detection. 4185-4188 - Wei-Yao Chiu, Yu-Ming Lee, Yinyi Lin:
Efficient zero-block mode decision algorithm for high bit-rate coding in H.264/AVC. 4189-4192 - Antti Hallapuro, Dmytro Rusanovskyy, Kemal Ugur, Jani Lainema, Moncef Gabbouj:
Efficient SIMD-based implementation of adaptive filter. 4193-4196 - Xiang Li, Peter Amon, Andreas Hutter, André Kaup:
Adaptive quantization parameter cascading for hierarchical video coding. 4197-4200 - Dong Zhang, Yi Guo, Houqiang Li, Chang Wen Chen:
Error resilient scalability for video bit-stream over heterogeneous packet loss networks. 4201-4204 - Xiang Li, Lingzhi Liu, Nam Ling, Jianhua Zheng, Philipp Zhang:
Prediction-based adaptive transform coefficients scanning for inter-frame video coding. 4205-4208 - Yu Chen, Lu Yu:
Adaptive spatial prediction in intra coding. 4209-4212 - Lin Ma, King N. Ngan:
Adaptive block-size transform based just-noticeable difference profile for videos. 4213-4216 - Viet Anh Nguyen, Zhenzhong Chen, Yap-Peng Tan:
Perceptually optimized error resilient transcoding using attention-based intra refresh. 4217-4220 - Xiulian Peng, Jizheng Xu, Feng Wu:
Line-based image coding using adaptive prediction filters. 4221-4224 - Chia-Liang Tsai, Shao-Yi Chien:
Image information splitting framework with importance sampling for robust transmission. 4225-4228 - Michael Hofstätter, Peter Schön, Christoph Posch:
A SPARC-compatible general purpose address-event processor with 20-bit l0ns-resolution asynchronous sensor data interface in 0.18μm CMOS. 4229-4232 - Ling Cen, Wee Ser, Wei Cen, Zhu Liang Yu:
Linear sparse array synthesis via convex optimization. 4233-4236 - Alejandro Linares-Barranco, Rafael Paz-Vicente, Francisco Gomez-Rodriguez, Angel Jiménez-Fernandez, Manuel Rivas, Gabriel Jiménez, Antón Civit:
On the AER convolution processors for FPGA. 4237-4240 - André van Schaik, Craig T. Jin, Alistair Lee McEwan, Tara Julia Hamilton, Stefan Mihalas, Ernst Niebur:
A log-domain implementation of the Mihalas-Niebur neuron model. 4249-4252 - André van Schaik, Craig T. Jin, Alistair Lee McEwan, Tara Julia Hamilton:
A log-domain implementation of the Izhikevich neuron model. 4253-4256 - Alexey Lopich, Piotr Dudek:
An 80×80 general-purpose digital vision chip in 0.18μm CMOS technology. 4257-4260 - Dipayan Das, Steve Collins:
A wide dynamic range integrating pixel with an improved low light sensitivity. 4261-4264 - Raphael Njuguna, Viktor Gruev:
Linear current mode image sensor with focal plane spatial image processing. 4265-4268 - Takeshi Shima, Takashi Kusaga:
Oscillation frequency analysis of N-stage CMOS ring oscillator with wired-OR connections. 4269-4272 - Budhaditya Banerjee, Christian C. Enz, Erwan Le Roux:
Detailed analysis of a phase ADC. 4273-4276 - Man-Young Jeon, Dong-Rok Lee:
Roles and limitations of two widely publicized equations in predicting phase shift impulse response of a simple 2-D oscillator. 4277-4280 - Seyed Kasra Garakoui, Eric A. M. Klumperink, Bram Nauta, Frank E. van Vliet:
Time delay circuits: A quality criterion for delay variations versus frequency. 4281-4284 - Mona Safi-Harb, Mohamad Sawan, Shahriar Mirabbasi:
Super-regeneration-inspired time-based testing of LC-tank oscillators. 4285-4288 - Kiyoshi Miyashita:
A Ku-band down-converter with perfect differential PLL in 0.18um CMOS. 4289-4292 - Hailang Liang, Rob J. Evans, Efstratios Skafidas:
Distortion analysis of 30Gsample/s CMOS switched source follower. 4293-4296 - Mahzad Azarmehr, Rashid Rashidzadeh, Majid Ahmadi:
High-speed CMOS track-and-hold with an offset cancellation replica circuit. 4297-4300 - Ting-Hsu Chien, Chi-Sheng Lin, Chin-Long Wey, Ying-Zong Juang, Chun-Ming Huang:
High-speed and low-power programmable frequency divider. 4301-4304 - Wei-Yu Tsai, Ching-Te Chiu, Jen-Ming Wu, Shuo-Hung Hsu, Yarsun Hsu:
A novel MUX-FF circuit for low power and high speed serial link interfaces. 4305-4308
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.